SUOR: Sectioned Undirectional Optical Ring for Chip Multiprocessor

Chip multiprocessor (CMP) is becoming an attractive platform for applications seeking both high performance and high energy efficiency. In large-scale CMPs, the communication efficiency among cores is crucial for the overall system performance and energy consumption. In this article, we propose a ring-based optical network-on-chip, called SUOR, to fulfill the communication requirement of CMPs. SUOR effectively explores the distinctive properties of optical signals and photonic devices, and dynamically partitions each data channel into multiple sections. Each section can be utilized independently to boost performance as well as reduce energy consumption. We develop a set of distributed control protocols and algorithms for SUOR, but physically allocate the corresponding cluster agents close to each other to benefit from the strengths of optical interconnects at long distances as well as electrical interconnects at short distances. Simulation results show that SUOR outperforms the alternative optical networks under a wide range of traffic patterns. For example, compared with MWSR design, SUOR achieves 2.58× throughput as well as saves 64% energy consumption on average in a 256-core CMP. Compared with MWMR design, SUOR achieves 1.52× throughput and reduces 73% energy consumption on average.

[1]  N. Feng,et al.  Low loss silicon waveguides for application of optical interconnects , 2010, IEEE Photonics Society Summer Topicals 2010.

[2]  Yuan Xie,et al.  3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC) , 2009, 2009 IEEE International Conference on 3D System Integration.

[3]  Debasish Datta,et al.  BER-based power budget evaluation for optical interconnect topologies in NoCs , 2012, 2012 IEEE International Symposium on Circuits and Systems.

[4]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[5]  H. Jonathan Chao,et al.  BLOCON: A Bufferless Photonic Clos network-on-chip architecture , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[6]  George Kurian,et al.  ATAC: Improving performance and programmability with on-chip optical networks , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[7]  Christopher Batten,et al.  Implementing System-in-Package with Nanophotonic Interconnect , 2010 .

[8]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[9]  Wei Zhang,et al.  A NoC Traffic Suite Based on Real Applications , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[10]  John Kim,et al.  Exploring Benefits and Designs of Optically-Connected Disintegrated Processor Architecture , 2010 .

[11]  Ashok V. Krishnamoorthy,et al.  Computer Systems Based on Silicon Photonic Interconnects A proposed supercomputer-on-a-chip with optical interconnections between processing elements will require development of new lower-energy optical components and new circuit architectures that match electrical datapaths to complementary optical , 2009 .

[12]  Li Shang,et al.  Spectrum: A hybrid nanophotonic—electric on-chip network , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[13]  Sriram R. Vangal,et al.  A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.

[14]  David Z. Pan,et al.  GLOW: A global router for low-power thermal-reliable interconnect synthesis using photonic wavelength multiplexing , 2012, 17th Asia and South Pacific Design Automation Conference.

[15]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[16]  Chi-Ying Tsui,et al.  A flit-level speedup scheme for network-on-chips using self-reconfigurable bi-directional channels , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[17]  F. Ellinger,et al.  A 100-mW 4/spl times/10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects , 2005, IEEE Journal of Solid-State Circuits.

[18]  Yuan Xie,et al.  F2BFLY: an on-chip free-space optical network with wavelength-switching , 2011, ICS '11.

[19]  A Syrbu,et al.  10 Gbps VCSELs with High Single Mode Output in 1310nm and 1550 nm Wavelength Bands , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[20]  Sandro Bartolini,et al.  A Simple On-Chip Optical Interconnection for Improving Performance of Coherency Traffic in CMPs , 2012, 2012 15th Euromicro Conference on Digital System Design.

[21]  Wei Zhang,et al.  A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip , 2012, JETC.

[22]  William J. Dally,et al.  Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.

[23]  F. Xia,et al.  Ultracompact optical buffers on a silicon chip , 2007 .

[24]  Sudeep Pasricha,et al.  Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors , 2009, CODES+ISSS '09.

[25]  F. Xia,et al.  Group index and group velocity dispersion in silicon-on-insulator photonic wires. , 2006, Optics express.

[26]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[27]  John E. Cunningham,et al.  Progress in Low-Power Switched Optical Interconnects , 2011, IEEE Journal of Selected Topics in Quantum Electronics.

[28]  Qianfan Xu,et al.  Micrometre-scale silicon electro-optic modulator , 2005, Nature.

[29]  Jun Yang,et al.  A composite and scalable cache coherence protocol for large scale CMPs , 2011, ICS '11.

[30]  Ahmed Louri,et al.  Three-Dimensional Stacked Nanophotonic Network-on-Chip Architecture with Minimal Reconfiguration , 2014, IEEE Transactions on Computers.

[31]  Steven M. Nowick,et al.  ACM Journal on Emerging Technologies in Computing Systems , 2010, TODE.

[32]  Éva Tardos,et al.  Algorithm design , 2005 .

[33]  Christopher Batten,et al.  Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[34]  Mateo Valero,et al.  Multiple-banked register file architectures , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[35]  Wei Zhang,et al.  Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[36]  Ahmed Louri,et al.  D Stacked Nanophotonic Network-on-Chip Architecture with Minimal Reconfiguration , 2012 .

[37]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[38]  Davide Bertozzi,et al.  Contrasting wavelength-routed optical NoC topologies for power-efficient 3d-stacked multicore processors using physical-layer analysis , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[39]  D. Syvridis,et al.  Dynamic Analysis of Crosstalk Performance in Microring-Based Add/Drop Filters , 2009, Journal of Lightwave Technology.

[40]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[41]  Yan Zheng,et al.  Power-efficient calibration and reconfiguration for optical network-on-chip , 2012, IEEE/OSA Journal of Optical Communications and Networking.

[42]  Cary Gunn,et al.  CMOS Photonics for High-Speed Interconnects , 2006, IEEE Micro.

[43]  Shaahin Hessabi,et al.  All-optical wavelength-routed NoC based on a novel hierarchical topology , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[44]  Avinash Karanth Kodi,et al.  Extending the Performance and Energy-Efficiency of Shared Memory Multicores with Nanophotonic Technology , 2014, IEEE Transactions on Parallel and Distributed Systems.

[45]  Nikil D. Dutt,et al.  ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip , 2008, 2008 Asia and South Pacific Design Automation Conference.

[46]  Ian O'Connor,et al.  Optical Ring Network-on-Chip (ORNoC): Architecture and design methodology , 2011, 2011 Design, Automation & Test in Europe.

[47]  Chen Ji,et al.  High data rate 850 nm oxide VCSEL for 20 Gbit/s application and beyond , 2009, 2009 Asia Communications and Photonics conference and Exhibition (ACP).

[48]  Venkatesh Akella,et al.  Addressing system-level trimming issues in on-chip nanophotonic networks , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[49]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[50]  G. Papen,et al.  Extraction of VCSEL rate-equation parameters for low-bias system simulation , 1999 .

[51]  Ian O'Connor,et al.  Optical solutions for system-level interconnect , 2004, SLIP '04.

[52]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[53]  Hafizur Rahaman,et al.  Design of an NoC with on-chip photonic interconnects using adaptive CDMA links , 2012, 2012 IEEE International SOC Conference.

[54]  G. Masini,et al.  A 1550nm, 10Gbps monolithic optical receiver in 130nm CMOS with integrated Ge waveguide photodetector , 2007, 2007 4th IEEE International Conference on Group IV Photonics.

[55]  Rami G. Melhem,et al.  Channel borrowing: an energy-efficient nanophotonic crossbar architecture with light-weight arbitration , 2012, ICS '12.

[56]  David Söderström,et al.  High data rate 850 nm oxide VCSEL for 20 Gb/s application and beyond , 2009, 2009 Asia Communications and Photonics conference and Exhibition (ACP).

[57]  Ashok V. Krishnamoorthy,et al.  Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.

[58]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[59]  Lin Yang,et al.  Four-channel reconfigurable optical add-drop multiplexer based on photonic wire waveguide. , 2009, Optics express.