Reducing SRAM Power Using Fine-Grained Wordline Pulsewidth Control

Embedded SRAM dominates modern SoCs, and there is a strong demand for SRAM with lower power consumption while achieving high performance and high density. However, the large increase of process variations in advanced CMOS technologies is considered one of the biggest challenges for SRAM designers. In the presence of large process variations, SRAMs are expected to consume larger power to ensure correct read operations and meet yield targets. In this paper, we propose a new architecture that significantly reduces the array switching power for SRAM. The proposed architecture combines built-in self-test and digitally controlled delay elements to reduce the wordline pulsewidth for memories while ensuring correct read operations, hence reducing the switching power. Monte Carlo simulations using a 1-Mb SRAM macro in an industrial 45-nm technology are used to verify the power saving for the proposed architecture. For a 48-Mb memory density, a 27% reduction in array switching power can be achieved for a read access yield target of 95%. In addition, the proposed system can provide larger power saving as process variations increase, which makes it an attractive solution for 45-nm-and-below technologies.

[1]  W. Kever,et al.  A 200 MHz RISC microprocessor with 128 kB on-chip caches , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.

[2]  I. Miller Probability, Random Variables, and Stochastic Processes , 1966 .

[3]  Kaushik Roy,et al.  A process-tolerant cache architecture for improved yield in nanoscale technologies , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Kaushik Roy,et al.  A voltage-scalable & process variation resilient hybrid SRAM architecture for MPEG-4 video processors , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[5]  William J. Bowhill,et al.  Design of High-Performance Microprocessor Circuits , 2001 .

[6]  Rouwaida Kanj,et al.  System-level SRAM yield enhancement , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[7]  K. Roy,et al.  Design of a Process Variation Tolerant Self-Repairing SRAM for Yield Enhancement in Nanoscaled CMOS , 2007, IEEE Journal of Solid-State Circuits.

[8]  Per Larsson-Edefors,et al.  Parameterizable architecture-level SRAM power model using circuit-simulation backend for leakage calibration , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[9]  Ping Wang,et al.  Variability in sub-100nm SRAM designs , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[10]  K. Ishibashi,et al.  Universal-Vdd 0.65-2.0V 32 kB cache using voltage-adapted timing-generation scheme and a lithographical-symmetric cell , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[11]  P.R. Kinget Device mismatch and tradeoffs in the design of analog circuits , 2005, IEEE Journal of Solid-State Circuits.

[12]  M. Yamaoka,et al.  A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.

[13]  Ashok Raman,et al.  Embedded Memory Field Returns - Trials and Tribulations , 2006, 2006 IEEE International Test Conference.

[14]  Kenichi Osada,et al.  Universal-Vdd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell , 2001, IEEE J. Solid State Circuits.

[15]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[16]  David Blaauw,et al.  Statistical analysis of subthreshold leakage current for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  T. Nirschl,et al.  Yield and speed optimization of a latch-type voltage sense amplifier , 2004, IEEE Journal of Solid-State Circuits.

[18]  Xiaojun Li,et al.  SRAM circuit-failure modeling and reliability simulation with SPICE , 2006, IEEE Transactions on Device and Materials Reliability.

[19]  Kiyoo Itoh Low-Voltage Limitations and Challenges of Memory-Rich Nano-Scale CMOS LSIs , 2007, 2007 14th IEEE International Conference on Electronics, Circuits and Systems.

[20]  Atsushi Kawasumi,et al.  A process-variation-tolerant dual-power-supply SRAM with 0.179µm2 Cell in 40nm CMOS using level-programmable wordline driver , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[21]  R. Heald,et al.  Variability in sub-100nm SRAM designs , 2004, ICCAD 2004.

[22]  Philippe Maurine,et al.  A Novel Dummy Bitline Driver for Read Margin Improvement in an eSRAM , 2008, 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008).

[23]  Mohab Anis,et al.  A methodology for statistical estimation of read access yield in SRAMs , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[24]  M. Khellah,et al.  Wordline & Bitline Pulsing Schemes for Improving SRAM Cell Stability in Low-Vcc 65nm CMOS Designs , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[25]  B.C. Paul,et al.  Process variation in embedded memories: failure analysis and variation aware architecture , 2005, IEEE Journal of Solid-State Circuits.

[26]  Yervant Zorian,et al.  Embedded-memory test and repair: infrastructure IP for SoC yield , 2003, IEEE Design & Test of Computers.

[27]  Kaushik Roy,et al.  Statistical design and optimization of SRAM cell for yield enhancement , 2004, ICCAD 2004.

[28]  Marcel J. M. Pelgrom,et al.  Transistor matching in analog CMOS applications , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[29]  Rochit Rajsuman Design and Test of Large Embedded Memories: An Overview , 2001, IEEE Des. Test Comput..

[30]  S. Shimada,et al.  Low-power embedded SRAM modules with expanded margins for writing , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[31]  R. Kanj,et al.  A high performance 2.4 Mb L1 and L2 cache compatible 45nm SRAM with yield improvement capabilities , 2008, 2008 IEEE Symposium on VLSI Circuits.

[32]  Y. Mitsui,et al.  Failure analysis of 6T SRAM on low-voltage and high-frequency operation , 2003 .

[33]  Francky Catthoor,et al.  Guest Editors' Intoduction: The New World of Large Embedded Memories , 2001, IEEE Des. Test Comput..

[34]  S. Ramesh,et al.  The statistics of device variations and its impact on SRAM bitcell performance, leakage and stability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[35]  Emil Talpes,et al.  Variability and energy awareness: a microarchitecture-level perspective , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[36]  D. Schmitt-Landsiedel,et al.  A 1 Mbit SRAM test structure to analyze local mismatch beyond 5 sigma variation , 2007, 2007 IEEE International Conference on Microelectronic Test Structures.

[37]  H. Yamauchi Embedded SRAM circuit design technologies for a 45nm and beyond , 2007, 2007 7th International Conference on ASIC.

[38]  Shi-Yu Huang,et al.  Resilient Self-V$_{\rm DD}$-Tuning Scheme With Speed-Margining for Low-Power SRAM , 2009, IEEE Journal of Solid-State Circuits.

[39]  M. Yamaoka,et al.  Low-voltage limitations of memory-rich nano-scale CMOS LSIs , 2007, ESSDERC 2007 - 37th European Solid State Device Research Conference.

[40]  Bharadwaj Amrutur,et al.  A replica technique for wordline and sense control in low-power SRAM's , 1998, IEEE J. Solid State Circuits.

[41]  Kenichi Osada,et al.  65nm Low-Power High-Density SRAM Operable at 1.0V under 3σ Systematic Variation Using Separate Vth Monitoring and Body Bias for NMOS and PMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[42]  Thomas A. DeMassa,et al.  Digital Integrated Circuits , 1985, 1985 IEEE GaAs IC Symposium Technical Digest.

[43]  Luca Benini,et al.  Memory design techniques for low energy embedded systems , 2002 .

[44]  Kaushik Roy,et al.  Statistical Characterization and On-Chip Measurement Methods for Local Random Variability of a Process Using Sense-Amplifier-Based Test Structure , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[45]  Tze-Chiang Chen,et al.  Where CMOS is Going: Trendy Hype vs. Real Technology , 2006, IEEE Solid-State Circuits Newsletter.

[46]  Sani R. Nassif,et al.  Statistical analysis of SRAM cell stability , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[47]  S. P. Park,et al.  Estimation of statistical variation in temporal NBTI degradation and its impact on lifetime circuit performance , 2007, ICCAD 2007.

[48]  Sam Yang,et al.  Non-Gaussian distribution of SRAM read current and design impact to low power memory using Voltage Acceleration Method , 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.

[49]  Athanasios Papoulis,et al.  Probability, Random Variables and Stochastic Processes , 1965 .