Adaptive and Resilient Circuits: A Tutorial on Improving Processor Performance, Energy Efficiency, and Yield via Dynamic Variation

Variability in device, circuit, and system parameters is one of the primary challenges in the semiconductor industry. Parameter variations degrade processor performance, energy efficiency, and yield across all market segments, ranging from small embedded cores in systems on chip to large multicore servers. In the design, manufacturing, and testing of commercial processors, there are three major variability classifications: 1) simulation tool uncertainties, 2) static parameter variations, and 3) dynamic parameter variations. Simulation tool uncertainties result from a design tradeoff between the simulation time and accuracy for both static-timing analysis and circuit simulators. In addition, transistor and interconnect model inaccuracies increase simulation tool uncertainties, especially when designing a processor in a new technology.

[1]  T. Rahal-Arabi,et al.  Enhancing microprocessor immunity to power supply noise with clock-data compensation , 2006, IEEE Journal of Solid-State Circuits.

[2]  S. Naffziger,et al.  Power and temperature control on a 90-nm Itanium family processor , 2006, IEEE Journal of Solid-State Circuits.

[3]  Keith A. Bowman,et al.  A 22 nm All-Digital Dynamically Adaptive Clock Distribution for Supply Voltage Droop Tolerance , 2013, IEEE Journal of Solid-State Circuits.

[4]  Saurabh Dighe,et al.  Adaptive Frequency and Biasing Techniques for Tolerance to Dynamic Temperature-Voltage Variations and Aging , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[5]  Keith A. Bowman,et al.  A 16 nm All-Digital Auto-Calibrating Adaptive Clock Distribution for Supply Voltage Droop Tolerance Across a Wide Operating Range , 2016, IEEE Journal of Solid-State Circuits.

[6]  K.A. Bowman,et al.  Energy-Efficient and Metastability-Immune Resilient Circuits for Dynamic Variation Tolerance , 2009, IEEE Journal of Solid-State Circuits.

[7]  Dennis Sylvester,et al.  Razor-Lite: A Light-Weight Register for Error Detection by Observing Virtual Supply Rails , 2014, IEEE Journal of Solid-State Circuits.

[8]  Phillip Restle,et al.  26.5 Adaptive clocking in the POWER9™ processor for voltage droop protection , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[9]  Amit Patra,et al.  On-Line Testing of Digital Circuits for n-Detect and Bridging Fault Models , 2005, 14th Asian Test Symposium (ATS'05).

[10]  David Blaauw,et al.  8.8 iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[11]  David Blaauw,et al.  A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation , 2011, IEEE Journal of Solid-State Circuits.

[12]  David Blaauw,et al.  Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[13]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[14]  David M. Bull,et al.  RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance , 2009, IEEE Journal of Solid-State Circuits.

[15]  Chen Chen,et al.  EDSU: Error detection and sampling unified flip-flop with ultra-low overhead , 2016, IEICE Electron. Express.

[16]  Michael Nicolaidis Time redundancy based soft-error tolerance to rescue nanometer technologies , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[17]  S. Naffziger,et al.  A 90-nm variable frequency clock system for a power-managed itanium architecture processor , 2006, IEEE Journal of Solid-State Circuits.

[18]  Samuel Naffziger,et al.  5.6 Adaptive clocking system for improved power efficiency in a 28nm x86-64 microprocessor , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[19]  Trevor Mudge,et al.  A self-tuning DVS processor using delay-error detection and correction , 2005, VLSIC 2005.

[20]  Bishop Brock,et al.  Active management of timing guardband to save energy in POWER7 , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[21]  Paolo A. Aseron,et al.  A 45 nm Resilient Microprocessor Core for Dynamic Variation Tolerance , 2011, IEEE Journal of Solid-State Circuits.

[22]  Visvesh Sathe,et al.  UVFR: A Unified Voltage and Frequency Regulator with 500MHz/0.84V to 100KHz/0.27V operating range, 99.4% current efficiency and 27% supply guardband reduction , 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.

[23]  Sung Kim,et al.  A combined all-digital PLL-buck slack regulation system with autonomous CCM/DCM transition control and 82% average voltage-margin reduction in a 0.6-to-1.0V cortex-M0 processor , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[24]  Jaydeep P. Kulkarni,et al.  5.7 A graphics execution core in 22nm CMOS featuring adaptive clocking, selective boosting and state-retentive sleep , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[25]  N. Kurd,et al.  Next Generation Intel¯ Core™ Micro-Architecture (Nehalem) Clocking , 2009, IEEE Journal of Solid-State Circuits.