Test Set Generation with a Large Number of Unspecified Bits Using Static and Dynamic Techniques
暂无分享,去创建一个
[1] Spyros Tragoudas,et al. Efficient deterministic test generation for BIST schemes with LFSR reseeding , 2006, 12th IEEE International On-Line Testing Symposium (IOLTS'06).
[2] Nur A. Touba,et al. Achieving high encoding efficiency with partial dynamic LFSR reseeding , 2004, TODE.
[3] Irith Pomeranz,et al. Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[4] Sofia Cassel,et al. Graph-Based Algorithms for Boolean Function Manipulation , 2012 .
[5] Chao Wang,et al. Learning from BDDs in SAT-based bounded model checking , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[6] Lei Li,et al. Hybrid BIST Based on Repeating Sequences and Cluster Analysis , 2005, Design, Automation and Test in Europe.
[7] B. Koneman,et al. LFSR-Coded Test Patterns for Scan Designs , 1993 .
[8] S. Hellebrand,et al. Pattern generation for a deterministic BIST scheme , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[9] Huaguo Liang,et al. Two-Dimensional Test Data Compression for Scan-Based Deterministic BIST , 2002, J. Electron. Test..
[10] Emmanouil Kalligeros,et al. Reseeding-based test set embedding with reduced test sequences , 2005, Sixth international symposium on quality electronic design (isqed'05).
[11] Aiman H. El-Maleh,et al. An efficient test relaxation technique for combinational & full-scan sequential circuits , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).
[12] Sandeep K. Gupta,et al. ATPG for heat dissipation minimization during test application , 1994, Proceedings., International Test Conference.
[13] Edward J. McCluskey,et al. Pseudorandom Testing , 1987, IEEE Transactions on Computers.
[14] Aiman H. El-Maleh,et al. Test vector decomposition-based static compaction algorithms for combinational circuits , 2003, TODE.
[15] Bernard Courtois,et al. Generation of Vector Patterns Through Reseeding of Multipe-Polynominal Linear Feedback Shift Registers , 1992 .
[16] Yusuke Matsunaga. MINT-An Exact Algorithm for Finding Minimum Test Set (Special Section on VLSI Design and CAD Algorithms) , 1993 .
[17] Paulo F. Flores,et al. An exact solution to the minimum size test pattern problem , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[18] Rolf Drechsler,et al. An integrated approach for combining BDD and SAT provers , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).
[19] Krishnendu Chakrabarty,et al. On Using Twisted-Ring Counters for Test Set Embedding in BIST , 2001, J. Electron. Test..
[20] Spyros Tragoudas,et al. ATPG tools for Delay Faults at the Functional Level * , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).
[21] Bozena Kaminska,et al. A new dynamic test vector compaction for automatic test pattern generation , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[22] Andreas Kuehlmann,et al. Equivalence checking combining a structural SAT-solver, BDDs, and simulation , 2000, Proceedings 2000 International Conference on Computer Design.
[23] Janak H. Patel,et al. Test set compaction algorithms for combinational circuits , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[24] Bernard Courtois,et al. Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers , 1995, IEEE Trans. Computers.
[25] Janusz Rajski,et al. Test Data Decompression for Multiple Scan Designs with Boundary Scan , 1998, IEEE Trans. Computers.
[26] A. Sangiovanni-Vincentelli,et al. Partitioned ROBDDs—a compact, canonical and efficiently manipulable representation for Boolean functions , 1996, ICCAD 1996.
[27] A. Arulmurugan,et al. Survey of low power testing of VLSI circuits , 2012, 2012 International Conference on Computer Communication and Informatics.
[28] Kohei Miyase,et al. XID: Don't care identification of test patterns for combinational circuits , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[29] Spyros Tragoudas,et al. Test set enhancement for quality transition faults using function-based methods , 2005, GLSVLSI '05.