Nucleation Control of Carbon Nanowalls Using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition

Carbon nanowalls (CNWs), a self-organized network of vertically standing few-layer graphenes, were synthesized by inductively coupled plasma-enhanced chemical vapor deposition (ICP-CVD) employing methane and argon mixtures. Significant interest exists in clarifying the nucleation mechanism of CNWs and controlling their nucleation. We have investigated the early growth stage of CNWs on the catalyst-free substrate and the titanium (Ti)-nanoparticle-catalyzed substrate. In the case of catalyst-free growth of CNWs, there was an induction period of 1–5 min before the onset of vertical nanographene growth and an interface layer exists between the vertical nanographenes and the surface of Si and SiO2 substrates. Meanwhile, in the case of the growth on the Ti nanoparticle-coated SiO2 substrates, the nanographenes were directly nucleated from the Ti nanoparticles without forming a base layer within 30 s, while no nucleation was observed on the SiO2 surface at this period. These results suggest the possibility of area-selective growth of CNWs by controlling the substrate biasing to suppress the nucleation selectively from the catalyst-free surface.

[1]  D. Manos,et al.  Uniform and enhanced field emission from chromium oxide coated carbon nanosheets , 2008 .

[2]  M. Hori,et al.  Highly reliable growth process of carbon nanowalls using radical injection plasma-enhanced chemical vapor deposition , 2008 .

[3]  Rong Yang,et al.  Catalyst-free growth of nanographene films on various substrates , 2011 .

[4]  Masaru Hori,et al.  Initial growth process of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition , 2009 .

[5]  N. Lisi,et al.  Electrochemical properties of carbon nanowalls synthesized by HF-CVD , 2007 .

[6]  K. Kojima,et al.  Lithium insertion behavior of carbon nanowalls by dc plasma CVD and its heat-treatment effect , 2009 .

[7]  R. Nemanich,et al.  First- and second-order Raman scattering from finite-size crystals of graphite , 1979 .

[8]  Yihong Wu,et al.  Electrochemical Synthesis and Characterization of Magnetic Nanoparticles on Carbon Nanowall Templates , 2002 .

[9]  M. Hori,et al.  Fabrication of carbon nanowalls using electron beam excited plasma-enhanced chemical vapor deposition , 2008 .

[10]  S. Banerjee,et al.  Large-Area Synthesis of High-Quality and Uniform Graphene Films on Copper Foils , 2009, Science.

[11]  G. D. Smith,et al.  Thermal desorption of hydrogen from carbon nanosheets. , 2006, The Journal of chemical physics.

[12]  P. Tessier,et al.  Carbon nanowalls as material for electrochemical transducers , 2009 .

[13]  S. Nadahara,et al.  Characterization of stress in doped and undoped polycrystalline silicon before and after annealing or oxidation with laser raman spectroscopy , 1990 .

[14]  J. Jiao,et al.  Carbon encapsulated nanoparticles of Ni, Co, Cu, and Ti , 1998 .

[15]  A. Ferrari,et al.  Raman spectroscopy of graphene and graphite: Disorder, electron phonon coupling, doping and nonadiabatic effects , 2007 .

[16]  Q. Xue,et al.  Anomalous electrical transport properties of amorphous carbon films on Si substrates , 2005 .

[17]  Masaru Hori,et al.  Critical Factors for Nucleation and Vertical Growth of Two Dimensional Nano-Graphene Sheets Employing a Novel Ar+ Beam with Hydrogen and Fluorocarbon Radical Injection , 2010 .

[18]  S. Pei,et al.  Graphene segregated on Ni surfaces and transferred to insulators , 2008, 0804.1778.

[19]  G. Eda,et al.  Large-area ultrathin films of reduced graphene oxide as a transparent and flexible electronic material. , 2008, Nature nanotechnology.

[20]  M. Hori,et al.  Fabrication of Carbon Nanowalls Using Novel Plasma Processing , 2005, Digest of Papers Microprocesses and Nanotechnology 2005.

[21]  Shailesh Kumar,et al.  Control of morphology and electrical properties of self-organized graphenes in a plasma , 2011 .

[22]  Nikolay V. Suetin,et al.  Evolution of carbon film structure during its catalyst-free growth in the plasma of direct current glow discharge , 2012 .

[23]  Andre K. Geim,et al.  The rise of graphene. , 2007, Nature materials.

[24]  Andre K. Geim,et al.  Raman spectrum of graphene and graphene layers. , 2006, Physical review letters.

[25]  Tow Chong Chong,et al.  Carbon Nanowalls Grown by Microwave Plasma Enhanced Chemical Vapor Deposition , 2002 .

[26]  C. Berger,et al.  Electronic Confinement and Coherence in Patterned Epitaxial Graphene , 2006, Science.

[27]  M. Hiramatsu,et al.  Detection of C2 radicals in low-pressure inductively coupled plasma source for diamond chemical vapor deposition , 2001 .

[28]  E. .. Mittemeijer,et al.  The solubility of C in solid Cu , 2004 .

[29]  Masaru Hori,et al.  Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma , 2012 .

[30]  John Robertson,et al.  Three-dimensional carbon nanowall structures , 2007 .

[31]  Brian C. Holloway,et al.  Free-standing subnanometer graphite sheets , 2004 .

[32]  Masaru Hori,et al.  Fabrication of vertically aligned carbon nanowalls using capacitively coupled plasma-enhanced chemical vapor deposition assisted by hydrogen radical injection , 2004 .

[33]  D. Manos,et al.  A mechanism for carbon nanosheet formation , 2007 .

[34]  Andre K. Geim,et al.  Electric Field Effect in Atomically Thin Carbon Films , 2004, Science.

[35]  Masaru Hori,et al.  Behavior of atomic radicals and their effects on organic low dielectric constant film etching in high density N2/H2 and N2/NH3 plasmas , 2002 .

[36]  M. Hori,et al.  Optical Properties of Evolutionary Grown Layers of Carbon Nanowalls Analyzed by Spectroscopic Ellipsometry , 2010 .

[37]  Kenichi Kojima,et al.  Raman spectra of carbon nanowalls grown by plasma-enhanced chemical vapor deposition , 2005 .