Memory controllers for high-performance and real-time MPSoCs requirements, architectures, and future trends
暂无分享,去创建一个
Tei-Wei Kuo | Fabien Clermidy | Kees G. W. Goossens | Yuan-Hao Chang | Pascal Vivet | Po-Chun Huang | Benny Akesson | Drew Wingard | Denis Dutoit | Tei-Wei Kuo | K. Goossens | F. Clermidy | P. Vivet | D. Dutoit | B. Akesson | Po-Chun Huang | Yuan-Hao Chang | D. Wingard
[1] L. Clavelier,et al. 3D Integration : a technological toolbox , 2008, 2008 3rd International Microsystems, Packaging, Assembly & Circuits Technology Conference.
[2] Sophie Verrun,et al. 3D integration technology for set-top box application , 2009, 2009 IEEE International Conference on 3D System Integration.
[3] Young-Hyun Jun,et al. A 1.2V 12.8GB/s 2Gb mobile Wide-I/O DRAM with 4×128 I/Os using TSV-based stacking , 2011, 2011 IEEE International Solid-State Circuits Conference.
[4] R. Anciant,et al. Mid-process through silicon vias technology using tungsten metallization: Process optimazation and electrical results , 2009, 2009 11th Electronics Packaging Technology Conference.
[5] William J. Dally,et al. Memory access scheduling , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[6] John W. Lockwood,et al. Beyond performance: secure and fair memory management for multiple systems on a chip , 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).
[7] Young-Hyun Jun,et al. A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM With 4 $\times$ 128 I/Os Using TSV Based Stacking , 2011, IEEE Journal of Solid-State Circuits.
[8] C.H. van Berkel,et al. Multi-core for mobile phones , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[9] Tomas Henriksson,et al. Heterogeneous multi-core platform for consumer multimedia applications , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[10] Kees G. W. Goossens,et al. Architectures and modeling of predictable memory controllers for improved system integration , 2011, 2011 Design, Automation & Test in Europe.
[11] Kees G. W. Goossens,et al. Real-Time Scheduling Using Credit-Controlled Static-Priority Arbitration , 2008, 2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.
[12] R. Anciant,et al. Integration and frequency dependent electrical modeling of Through Silicon Vias (TSV) for high density 3DICs , 2010, 2010 IEEE International Interconnect Technology Conference.
[13] Rolf Ernst,et al. Traffic shaping for an FPGA based SDRAM controller with complex QoS requirements , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[14] Kees G. W. Goossens,et al. Classification and Analysis of Predictable Memory Patterns , 2010, 2010 IEEE 16th International Conference on Embedded and Real-Time Computing Systems and Applications.
[15] David Hung-Chang Du,et al. Rejuvenator: A static wear leveling algorithm for NAND flash memory with minimized overhead , 2011, 2011 IEEE 27th Symposium on Mass Storage Systems and Technologies (MSST).
[16] Onur Mutlu,et al. Parallelism-Aware Batch Scheduling: Enabling High-Performance and Fair Shared Memory Controllers , 2009, IEEE Micro.
[17] Gabriel H. Loh,et al. 3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.
[18] Tei-Wei Kuo,et al. A version-based strategy for reliability enhancement of flash file systems , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[19] Francisco J. Cazorla,et al. An Analyzable Memory Controller for Hard Real-Time CMPs , 2009, IEEE Embedded Systems Letters.
[20] Kern Koh,et al. An Efficient Garbage Collection Policy for Flash Memory Based Swap Systems , 2007, ICCSA.
[21] Artur Burchard,et al. A real-time streaming memory controller , 2005, Design, Automation and Test in Europe.
[22] Tei-Wei Kuo,et al. Improving Flash Wear-Leveling by Proactively Moving Static Data , 2010, IEEE Transactions on Computers.
[23] Tei-Wei Kuo,et al. An Adaptive Flash Translation Layer for High-Performance Storage Systems , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[24] Tei-Wei Kuo,et al. Real-time garbage collection for flash-memory storage systems of real-time embedded systems , 2004, TECS.
[25] Tony Givargis,et al. Deterministic service guarantees for nand flash using partial block cleaning , 2008, CODES+ISSS '08.
[26] Youngjae Kim,et al. DFTL: a flash translation layer employing demand-based selective caching of page-level address mappings , 2009, ASPLOS.
[27] Kees van Berkel,et al. Multi-core for mobile phones , 2009, DATE.
[28] George A. Constantinides,et al. Methodology for designing statically scheduled application-specific SDRAM controllers using constrained local search , 2009, 2009 International Conference on Field-Programmable Technology.
[29] D. Henry,et al. Polymer filling of medium density through silicon via for 3D-packaging , 2009, 2009 11th Electronics Packaging Technology Conference.
[30] Pieter van der Wolf,et al. SoC infrastructures for predictable system integration , 2011, 2011 Design, Automation & Test in Europe.
[31] X. Baillin,et al. Via First Technology Development Based on High Aspect Ratio Trenches Filled with Doped Polysilicon , 2007, 2007 Proceedings 57th Electronic Components and Technology Conference.
[32] Tei-Wei Kuo,et al. A commitment-based management strategy for the performance and reliability enhancement of flash-memory storage systems , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[33] Pieter van der Wolf,et al. Real-Time Analysis for Memory Access in Media Processing SoCs: A Practical Approach , 2008, 2008 Euromicro Conference on Real-Time Systems.
[34] Lesley Anne Polka. Package Technology to Address the Memory Bandwidth Challenge for Terascale Computing , 2007 .
[35] Jun Shao,et al. A Burst Scheduling Access Reordering Mechanism , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.
[36] Tei-Wei Kuo,et al. An adaptive striping architecture for flash memory storage systems of embedded systems , 2002, Proceedings. Eighth IEEE Real-Time and Embedded Technology and Applications Symposium.
[37] C. Brunet-Manquat,et al. Wafer level packaging technology development for CMOS image sensors using Through Silicon Vias , 2008, 2008 2nd Electronics System-Integration Technology Conference.
[38] Paul D. Franzon,et al. Creating 3D specific systems: architecture, design and CAD , 2010, DATE 2010.
[39] Pierric Gueguen,et al. Enabling 3D interconnects with metal direct bonding , 2009, 2009 IEEE International Interconnect Technology Conference.
[40] Orlando Moreira,et al. Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor , 2007, EMSOFT '07.
[41] Ruei-Chuan Chang,et al. Cleaning policies in mobile computers using flash memory , 1999, J. Syst. Softw..
[42] Tei-Wei Kuo,et al. An efficient fault detection algorithm for NAND flash memory , 2011, SIAP.
[43] Dwijendra K. Ray-Chaudhuri,et al. Binary mixture flow with free energy lattice Boltzmann methods , 2022, arXiv.org.
[44] Sang-Won Lee,et al. FAST: An Efficient Flash Translation Layer for Flash Memory , 2006, EUC Workshops.
[45] Chein-Wei Jen,et al. An efficient quality-aware memory controller for multimedia platform SoC , 2005, IEEE Transactions on Circuits and Systems for Video Technology.
[46] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[47] Paul D. Franzon,et al. Creating 3D specific systems: Architecture, design and CAD , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).