Dual-Port SOT-MRAM Achieving 90-MHz Read and 60-MHz Write Operations Under Field-Assistance-Free Condition

The development of new functional memories using emerging nonvolatile devices has been widely investigated. Spin-transfer torque magnetoresistive random access memory (STT-MRAM) has become new technology platform to overcome the issue in power consumption of logic for the application from IoT to AI; however, STT-MRAM has a tradeoff relationship between endurance, retention, and access time. This is because the MTJ device used in STT-MRAM is a two-terminal device, and excessive read current for high-speed readout can cause unexpected data writing, or so-called read disturbance. In order to meet the demand for the realization of high-speed nonvolatile memory, the development of new memories based on innovative circuit, device, and integration process is required. In this article, we demonstrate an SOT-MRAM, a nonvolatile memory using MTJ devices with spin-orbit-torque (SOT) switching that have a read-disturbance-free characteristic. The SOT-MRAM fabricated using a 55-nm CMOS process is implemented in a dual-port configuration utilizing a three-terminal structure of the device for realizing a wide bandwidth applicable to high-speed applications. In addition, a read-energy reduction technique called a self-termination scheme is also implemented. Through the measurement results of the fabricated prototype chip, we will demonstrate the proposed SOT-MRAM achieves 60-MHz write and 90-MHz read operations with 1.2-V supply voltage under a magnetic-field-free condition.

[1]  Takahiro Hanyu,et al.  Design of MTJ-Based nonvolatile logic gates for quantized neural networks , 2018, Microelectron. J..

[2]  T. Endoh,et al.  14ns write speed 128Mb density Embedded STT-MRAM with endurance>1010 and 10yrs retention@85°C using novel low damage MTJ integration process , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[3]  H. Ohno,et al.  MgO/CoFeB/Ta/CoFeB/MgO Recording Structure in Magnetic Tunnel Junctions With Perpendicular Easy Axis , 2013, IEEE Transactions on Magnetics.

[4]  T. Endoh,et al.  First demonstration of field-free SOT-MRAM with 0.35 ns write speed and 70 thermal stability under 400°C thermal tolerance by canted SOT structure and its advanced patterning/SOT channel technology , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[5]  T. Endoh,et al.  Fabrication of a 99%-energy-less nonvolatile multi-functional CAM chip using hierarchical power gating for a massively-parallel full-text-search engine , 2013, 2013 Symposium on VLSI Technology.

[6]  Daisuke Suzuki,et al.  Standby-Power-Free Integrated Circuits Using MTJ-Based VLSI Computing , 2016, Proceedings of the IEEE.

[7]  D. Ralph,et al.  Spin-Torque Switching with the Giant Spin Hall Effect of Tantalum , 2012, Science.

[8]  J. Swerts,et al.  Manufacturable 300mm platform solution for Field-Free Switching SOT-MRAM , 2019, 2019 Symposium on VLSI Technology.

[9]  Daisuke Suzuki,et al.  12.1 An FPGA-Accelerated Fully Nonvolatile Microcontroller Unit for Sensor-Node Applications in 40nm CMOS/MTJ-Hybrid Technology Achieving 47.14μW Operation at 200MHz , 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

[10]  Ali Sheikholeslami,et al.  A Novel STT-MRAM Cell With Disturbance-Free Read Operation , 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

[11]  Hidehiro Fujiwara,et al.  A 5-nm 135-Mb SRAM in EUV and High-Mobility Channel FinFET Technology With Metal Coupling and Charge-Sharing Write-Assist Circuitry Schemes for High-Density and Low-VMIN Applications , 2021, IEEE Journal of Solid-State Circuits.

[12]  Kaushik Roy,et al.  Failure Mitigation Techniques for 1T-1MTJ Spin-Transfer Torque MRAM Bit-cells , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Takahiro Hanyu,et al.  Impact of MTJ-based nonvolatile circuit techniques for energy-efficient binary neural network hardware , 2020 .

[14]  Daisuke Suzuki,et al.  Nonvolatile logic-in-memory array processor in 90nm MTJ/MOS achieving 75% leakage reduction using cycle-based power gating , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[15]  Hiroki Koike,et al.  Stochastic behavior-considered VLSI CAD environment for MTJ/MOS-hybrid microprocessor design , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

[16]  Takahiro Hanyu,et al.  Design of an energy-efficient XNOR gate based on MTJ-based nonvolatile logic-in-memory architecture for binary neural network hardware , 2019, Japanese Journal of Applied Physics.

[17]  Shoji Ikeda,et al.  Implementation of a perpendicular MTJ-based read-disturb-tolerant 2T-2R nonvolatile TCAM based on a reversed current reading scheme , 2012, 17th Asia and South Pacific Design Automation Conference.

[18]  Puneet Gupta,et al.  MTJ variation monitor-assisted adaptive MRAM write , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[19]  T. Ghani,et al.  MRAM as Embedded Non-Volatile Memory Solution for 22FFL FinFET Technology , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[20]  Shoji Ikeda,et al.  Fabrication of a magnetic tunnel junction-based 240-tile nonvolatile field-programmable gate array chip skipping wasted write operations for greedy power-reduced logic applications , 2013, IEICE Electron. Express.

[21]  Shih-Chieh Chang,et al.  15.2 A 28nm 64Kb Inference-Training Two-Way Transpose Multibit 6T SRAM Compute-in-Memory Macro for AI Edge Chips , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[22]  T. Nasuno,et al.  Dual-Port Field-Free SOT-MRAM Achieving 90-MHz Read and 60-MHz Write Operations under 55-nm CMOS Technology and 1.2-V Supply Voltage , 2020, 2020 IEEE Symposium on VLSI Circuits.

[23]  Yu-Lin Chen,et al.  13.3 A 22nm 32Mb Embedded STT-MRAM with 10ns Read Speed, 1M Cycle Write Endurance, 10 Years Retention at 150°C and High Immunity to Magnetic Field Interference , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[24]  Daisuke Suzuki,et al.  A 47.14- $\mu\text{W}$ 200-MHz MOS/MTJ-Hybrid Nonvolatile Microcontroller Unit Embedding STT-MRAM and FPGA for IoT Applications , 2019, IEEE Journal of Solid-State Circuits.

[25]  T. Endoh,et al.  Novel Quad interface MTJ technology and its first demonstration with high thermal stability and switching efficiency for STT-MRAM beyond 2Xnm , 2019, 2019 Symposium on VLSI Technology.

[26]  H. Ohno,et al.  A perpendicular-anisotropy CoFeB-MgO magnetic tunnel junction. , 2010, Nature materials.

[27]  Junichi Sato,et al.  13.5 A 128Gb 1b/Cell 96-Word-Line-Layer 3D Flash Memory to Improve Random Read Latency with tPROG=75µs and tR=4µs , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[28]  H. Ohno,et al.  Magnetization switching schemes for nanoscale three-terminal spintronics devices , 2017 .

[29]  Hideo Ohno,et al.  A sub-ns three-terminal spin-orbit torque induced switching device , 2016, 2016 IEEE Symposium on VLSI Technology.

[30]  Daisuke Suzuki,et al.  Nonvolatile Logic-in-Memory LSI Using Cycle-Based Power Gating and its Application to Motion-Vector Prediction , 2015, IEEE Journal of Solid-State Circuits.

[31]  Jonathan Chang,et al.  15.3 A 351TOPS/W and 372.4GOPS Compute-in-Memory SRAM Macro in 7nm FinFET CMOS for Machine-Learning Applications , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[32]  Daisuke Suzuki,et al.  Fabrication of a 3000-6-input-LUTs embedded and block-level power-gated nonvolatile FPGA chip using p-MTJ-based logic-in-memory structure , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[33]  Hideo Ohno,et al.  Spin-orbit torque-induced switching of in-plane magnetized elliptic nanodot arrays with various easy-axis directions measured by differential planar Hall resistance , 2019, Applied Physics Letters.

[34]  Meng-Fan Chang,et al.  13.4 A 22nm 1Mb 1024b-Read and Near-Memory-Computing Dual-Mode STT-MRAM Macro with 42.6GB/s Read Bandwidth for Security-Aware Mobile Devices , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[35]  M.Natsui Highly Reliable MTJ-Based Nonvolatile Logic-in-Memory LSI with Content-Aware Write Error Masking Scheme , 2016 .

[36]  Pulkit Jain,et al.  13.3 A 7Mb STT-MRAM in 22FFL FinFET Technology with 4ns Read Sensing Time at 0.9V Using Write-Verify-Write Scheme and Offset-Cancellation Sensing Technique , 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

[37]  S. H. Han,et al.  Demonstration of Highly Manufacturable STT-MRAM Embedded in 28nm Logic , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[38]  K. L. Wang,et al.  Field-Free Switching of Perpendicular Magnetization through Voltage-Gated Spin-Orbit Torque , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).