Layout decomposition of self-aligned double patterning for 2D random logic patterning

Self-aligned double pattering (SADP) has been adapted as a promising solution for sub-30nm technology nodes due to its lower overlay problem and better process tolerance. SADP is in production use for 1D dense patterns with good pitch control such as NAND Flash memory applications, but it is still challenging to apply SADP to 2D random logic patterns. The favored type of SADP for complex logic interconnects is a two mask approach using a core mask and a trim mask. In this paper, we first describe layout decomposition methods of spacer-type double patterning lithography, then report a type of SADP compliant layouts, and finally report SADP applications on Samsung 22nm SRAM layout. For SADP decomposition, we propose several SADP-aware layout coloring algorithms and a method of generating lithography-friendly core mask patterns. Experimental results on 22nm node designs show that our proposed layout decomposition for SADP effectively decomposes any given layouts.

[1]  Andrew B. Kahng,et al.  Layout decomposition for double patterning lithography , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[2]  Kanak Agarwal Frequency domain decomposition of layouts for double dipole lithography , 2010, Design Automation Conference.

[3]  Kun Yuan,et al.  Layout Decomposition for Triple Patterning Lithography , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Andrew B. Kahng,et al.  Timing Yield-Aware Color Reassignment and Detailed Placement Perturbation for Bimodal CD Distribution in Double Patterning Lithography , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Jerry Liu,et al.  Advanced self-aligned double patterning development for sub-30-nm DRAM manufacturing , 2009, Advanced Lithography.

[6]  Kun Yuan,et al.  A new graph-theoretic, multi-objective layout decomposition framework for Double Patterning Lithography , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[7]  David Z. Pan,et al.  Double patterning technology friendly detailed routing , 2008, ICCAD 2008.

[8]  David Z. Pan,et al.  Flexible 2D layout decomposition framework for spacer-type double pattering lithography , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[9]  Yunfei Deng,et al.  Decomposition strategies for self-aligned double patterning , 2010, Advanced Lithography.

[10]  Yi-Shiang Chang,et al.  Full area pattern decomposition of self-aligned double patterning for 30nm node NAND FLASH process , 2010, Advanced Lithography.

[11]  Christopher Cork,et al.  Implementing and validating double patterning in 22-nm to 16-nm product design and patterning flows , 2010, Advanced Lithography.

[12]  Lars W. Liebmann,et al.  Taming the final frontier of optical lithography: design for sub-resolution patterning , 2010, Advanced Lithography.

[13]  Kun Yuan,et al.  Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.