Fast error aware model for arithmetic and logic circuits

As a result of supply voltage reduction and process variations effects, the error free margin for dynamic voltage scaling has been drastically reduced. This paper presents an error aware model for arithmetic and logic circuits that accurately and rapidly estimates the propagation delays of the output bits in a digital block operating under voltage scaling to identify circuit-level failures (timing violations) within the block. Consequently, these failure models are then used to examine how circuit-level failures affect system-level reliability. A case study consisting of a CORDIC DSP unit employing the proposed model provides tradeoffs between power, performance and reliability.

[1]  Massoud Pedram,et al.  Fine-grained dynamic voltage and frequency scaling for precise energy and performance trade-off based on the ratio of off-chip access to on-chip computation times , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[2]  Trevor Mudge,et al.  A self-tuning DVS processor using delay-error detection and correction , 2005, VLSIC 2005.

[3]  Yang Liu,et al.  Analysis of voltage overscaled computer arithmetics in low power signal processing systems , 2008, 2008 42nd Asilomar Conference on Signals, Systems and Computers.

[4]  Kiarash Amiri,et al.  A Unified Hardware and Channel Noise Model for Communication Systems , 2010, 2010 IEEE Global Telecommunications Conference GLOBECOM 2010.

[5]  A. R. Newton,et al.  Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas , 1990 .

[6]  Dragan Maksimovic,et al.  Closed-loop adaptive voltage scaling controller for standard-cell ASICs , 2002, ISLPED '02.

[7]  Linda S. Milor,et al.  Fast Variation-Aware Statistical Dynamic Timing Analysis , 2009, 2009 WRI World Congress on Computer Science and Information Engineering.

[8]  Kaushik Roy,et al.  CRISTA: A New Paradigm for Low-Power, Variation-Tolerant, and Adaptive Circuit Synthesis Using Critical Path Isolation , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Kwang-Ting Cheng,et al.  Path selection and pattern generation for dynamic timing analysis considering power supply noise effects , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[10]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[11]  Deming Chen,et al.  Analysis of circuit dynamic behavior with timed ternary decision diagram , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[12]  Linda S. Milor,et al.  Timing Analysis with Compact Variation-Aware Standard Cell Models , 2009, CSIE.

[13]  Naresh R. Shanbhag,et al.  Soft digital signal processing , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[14]  R. Engelbrecht,et al.  DIGEST of TECHNICAL PAPERS , 1959 .

[15]  Massimo Alioto,et al.  Understanding the Effect of Process Variations on the Delay of Static and Domino Logic , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  David Blaauw,et al.  Statistical Timing Analysis: From Basic Principles to State of the Art , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Ahmed M. Eltawil,et al.  Embedded Memories Fault-Tolerant Pre- and Post-Silicon Optimization , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Keshab K. Parhi,et al.  Low-power frequency selective filtering , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[19]  Kaushik Roy,et al.  Process Variations and Process-Tolerant Design , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[20]  Benton H. Calhoun,et al.  Flexible Circuits and Architectures for Ultralow Power , 2010, Proceedings of the IEEE.

[21]  Douglas L. Jones,et al.  Stochastic computation , 2010, Design Automation Conference.

[22]  Manoj Sachdev,et al.  Variation-Aware Adaptive Voltage Scaling System , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[23]  Augustus K. Uht Going beyond worst-case specs with TEAtime , 2004, Computer.

[24]  Augustus K. Uht,et al.  Uniprocessor performance enhancement through adaptive clock frequency control , 2005, IEEE Transactions on Computers.

[25]  Rouwaida Kanj,et al.  Cross Layer Error Exploitation for Aggressive Voltage Scaling , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[26]  Yu (Kevin) Cao,et al.  What is Predictive Technology Model (PTM)? , 2009, SIGD.

[27]  Naresh R. Shanbhag,et al.  Energy-efficiency bounds for deep submicron VLSI systems in the presence of noise , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[28]  Ishiuchi,et al.  Alpha-Power Law MOSFET Model and its Applications to CMOS Inverter Delay and Other Formulas , 2004 .

[29]  Jack E. Volder The CORDIC Trigonometric Computing Technique , 1959, IRE Trans. Electron. Comput..