Improvements to satisfiability-based boolean function bi-decomposition
暂无分享,去创建一个
[1] Tadeusz Luba,et al. A General Approach to Boolean Function Decomposition and its Application in FPGABased Synthesis , 1995, VLSI Design.
[2] Sze-Tsen Hu. ON THE DECOMPOSITION OF SWITCHING FUNCTIONS , 1961 .
[3] H. A. Curtis,et al. A new approach to The design of switching circuits , 1962 .
[4] Joao Marques-Silva,et al. New & improved models for SAT-based bi-decomposition , 2012, GLSVLSI '12.
[5] Karem A. Sakallah,et al. Theory and Applications of Satisfiability Testing - SAT 2011 - 14th International Conference, SAT 2011, Ann Arbor, MI, USA, June 19-22, 2011. Proceedings , 2011, SAT.
[6] Mikolás Janota,et al. QBf-based boolean function bi-decomposition , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[7] João P. Marques Silva. Minimal Unsatisfiability: Models, Algorithms and Applications (Invited Paper). , 2010, ISMVL 2010.
[8] Jie-Hong Roland Jiang,et al. Bi-decomposing large Boolean functions via interpolation and satisfiability solving , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[9] William Craig,et al. Linear reasoning. A new form of the Herbrand-Gentzen theorem , 1957, Journal of Symbolic Logic.
[10] Joao Marques-Silva,et al. Minimal Unsatisfiability: Models, Algorithms and Applications (Invited Paper) , 2010, 2010 40th IEEE International Symposium on Multiple-Valued Logic.
[11] Jie-Hong Roland Jiang,et al. To SAT or Not to SAT: Scalable Exploration of Functional Dependency , 2010, IEEE Transactions on Computers.
[12] Shih-Chieh Chang,et al. Technology mapping for TLU FPGAs based on decomposition of binary decision diagrams , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[13] Joao Marques-Silva,et al. TG-Pro: A SAT-based ATPG System , 2012, J. Satisf. Boolean Model. Comput..
[14] Kenneth L. McMillan,et al. Interpolation and SAT-Based Model Checking , 2003, CAV.
[15] Carl Sechen,et al. Quasi-algebraic decompositions of switching functions , 1995, Proceedings Sixteenth Conference on Advanced Research in VLSI.
[16] Stephen A. Cook,et al. The complexity of theorem-proving procedures , 1971, STOC.
[17] Alexander Nadel. Boosting minimal unsatisfiable core extraction , 2010, Formal Methods in Computer Aided Design.
[18] Inês Lynce,et al. On Improving MUS Extraction Algorithms , 2011, SAT.
[19] Kartik Mohanram,et al. Bi-decomposition of large Boolean functions using blocking edge graphs , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[20] Sharad Malik,et al. Chaff: engineering an efficient SAT solver , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[21] Robert K. Brayton,et al. Three-level decomposition with application to PLDs , 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[22] Karem A. Sakallah,et al. Refinement strategies for verification methods based on datapath abstraction , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[23] Karem A. Sakallah,et al. GRASP—a new search algorithm for satisfiability , 1996, ICCAD 1996.
[24] Bernd Steinbach,et al. An algorithm for bi-decomposition of logic functions , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[25] Jie-Hong R. Jiang,et al. To SAT or not to SAT: Ashenhurst decomposition in a large scale , 2008, ICCAD 2008.
[26] D. Bochmann,et al. A new decomposition method for multilevel circuit design , 1991, Proceedings of the European Conference on Design Automation..
[27] Pavel Pudlák,et al. Lower bounds for resolution and cutting plane proofs and monotone computations , 1997, Journal of Symbolic Logic.
[28] Martin D. F. Wong,et al. DDBDD: Delay-Driven BDD Synthesis for FPGAs , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[29] Bernd Steinbach,et al. Exploiting Functional Properties of Boolean Functions for Optimal Multi-Level Design by Bi-Decomposition , 2004, Artificial Intelligence Review.
[30] Alan Mishchenko,et al. Sequential logic synthesis using symbolic bi-decomposition , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[31] Tsutomu Sasao,et al. On bi-decomposition of logic functions , 1997 .
[32] Zurab Khasidashvili,et al. A compositional theory for post-reboot observational equivalence checking of hardware , 2009, 2009 Formal Methods in Computer-Aided Design.
[33] Niklas Sörensson,et al. An Extensible SAT-solver , 2003, SAT.
[34] Hans K. Buning,et al. Propositional Logic: Deduction and Algorithms , 1999 .
[35] Christoph Scholl. Functional decomposition with applications to FPGA synthesis , 2001 .
[36] Sarma B. K. Vrudhula,et al. BDD Based Decomposition of Logic Functions with Application to FPGA Synthesis , 1993, 30th ACM/IEEE Design Automation Conference.
[37] Karem A. Sakallah,et al. Algorithms for Computing Minimal Unsatisfiable Subsets of Constraints , 2007, Journal of Automated Reasoning.
[38] Joao Marques-Silva,et al. Minimally Unsatisfiable Boolean Circuits , 2011, SAT.
[39] Mingsong Chen,et al. Decision ordering based property decomposition for functional test generation , 2011, 2011 Design, Automation & Test in Europe.
[40] Jordi Cortadella. Timing-driven logic bi-decomposition , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[41] Sharad Malik,et al. Validating SAT solvers using an independent resolution-based checker: practical implementations and other applications , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.