Leakage Aware Scheduling for Maximum Temperature Minimization

As power consumption continues to increase dramatically in real-time systems, the thermal management has become a prominent issue. Taking leakage current into account, this paper focuses on the maximum temperature minimization for the processor executing a set of real-time tasks with a common deadline. We prove that, for a specific interval, constant-speed schedule applying the lowest constant speed will be superior to any other schedule using higher constant speed in maximum temperature minimization. By dividing the interval into two subintervals, we develop a step-down scheduling algorithm, providing each subinterval a unique processor speed to further reduce the maximum temperature. Compared with the optimal constant-speed schedule, the proposed algorithm significantly reduces the maximum temperature by up to 12%.

[1]  Li Shang,et al.  Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[2]  Kirk Pruhs,et al.  Dynamic speed scaling to manage energy and temperature , 2004, 45th Annual IEEE Symposium on Foundations of Computer Science.

[3]  Tei-Wei Kuo,et al.  On the Minimization of the Instantaneous Temperature for Periodic Real-Time Tasks ∗ , 2007 .

[4]  Lei He,et al.  Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Kirk Pruhs,et al.  Speed scaling to manage energy and temperature , 2007, JACM.

[6]  Gang Quan,et al.  Leakage conscious DVS scheduling for peak temperature minimization , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[7]  Kirk Pruhs,et al.  Speed Scaling to Manage Temperature , 2005, STACS.

[8]  Riccardo Bettati,et al.  Reactive speed control in temperature-constrained real-time systems , 2006, 18th Euromicro Conference on Real-Time Systems (ECRTS'06).

[9]  Karam S. Chatha,et al.  Approximation algorithm for the temperature-aware scheduling problem , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[10]  Lothar Thiele,et al.  Thermal-Aware Global Real-Time Scheduling on Multicore Systems , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.

[11]  Lothar Thiele,et al.  Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.

[12]  Huazhong Yang,et al.  Accurate temperature-dependent integrated circuit leakage power estimation is easy , 2007 .

[13]  Xiaobo Sharon Hu,et al.  Online work maximization under a peak temperature constraint , 2009, ISLPED.

[14]  Yan Zhang,et al.  Leakage Aware Feasibility Analysis for Temperature-Constrained Hard Real-Time Periodic Tasks , 2009, 2009 21st Euromicro Conference on Real-Time Systems.

[15]  Tei-Wei Kuo,et al.  On the Minimization fo the Instantaneous Temperature for Periodic Real-Time Tasks , 2007, 13th IEEE Real Time and Embedded Technology and Applications Symposium (RTAS'07).

[16]  Gang Quan,et al.  Leakage-aware real-time scheduling for maximal temperature minimization , 2010, SIGBED.