Prolonging 3D NAND SSD lifetime via read latency relaxation

The adoption of 3D NAND has significantly increased the SSD density; however, 3D NAND density-increasing techniques, such as extensive stacking of cell layers, can amplify read disturbances and shorten SSD lifetime. From our lifetime-impact characterization on 8 state-of-the-art SSDs, we observe that the 3D TLC/QLC SSDs can be worn-out by low read-only workloads within their warranty period since a huge amount of read disturbance-induced rewrites are performed in the background. To understand alternative read disturbance mitigation opportunities, we also conducted read-latency characterizations on 2 other SSDs without the background rewrite mechanism. The collected results indicate that, without the background rewriting, the read latencies of the majority of data become higher, as the number of reads on the data increases. Motivated by these two characterizations, in this paper, we propose to relax the short read latency constraint on the high-density 3D SSDs. Specifically, our proposal relies on the hint information passed from applications to SSDs that specifies the expected read performance. By doing so, the lifetime consumption caused by the read-induced writes can be reduced, thereby prolonging the SSD lifetime. The detailed experimental evaluations show that our proposal can reduce up to 56% of the rewrite-induced spent-lifetime with only 2% lower performance, under a file-server application.

[1]  Wei Wu,et al.  Optimizing NAND flash-based SSDs via retention relaxation , 2012, FAST.

[2]  Xiaofei Xu,et al.  Frequent Access Pattern-based Prefetching Inside of Solid-State Drives , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[3]  Onur Mutlu,et al.  Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery , 2015, 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.

[4]  Chun Jason Xue,et al.  Constructing Large, Durable and Fast SSD System via Reprogramming 3D TLC Flash Memory , 2019, MICRO.

[5]  Jon Postel,et al.  Address mappings , 1981, RFC.

[6]  Nanning Zheng,et al.  LDPC-in-SSD: making advanced error correction codes work effectively in solid state drives , 2013, FAST.

[7]  Yiran Chen,et al.  DPA: A data pattern aware error prevention technique for NAND flash lifetime extension , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[8]  Jihong Kim,et al.  A read-disturb management technique for high-density NAND flash memory , 2013, APSys.

[9]  Fei Wu,et al.  Characterizing 3D Floating Gate NAND Flash , 2018, SIGMETRICS.

[10]  Joo Young Hwang,et al.  F2FS: A New File System for Flash Storage , 2015, FAST.

[11]  Seiichi Aritome,et al.  Nand Flash Memory Technologies , 2015 .

[12]  Youngjae Kim,et al.  DFTL: a flash translation layer employing demand-based selective caching of page-level address mappings , 2009, ASPLOS.

[13]  Sang Lyul Min,et al.  AutoSSD: an Autonomic SSD Architecture , 2018, USENIX Annual Technical Conference.

[14]  Mahmut T. Kandemir,et al.  PEN: Design and Evaluation of Partial-Erase for 3D NAND-Based High Density SSDs , 2018, FAST.

[15]  Wook-Ghee Hahn,et al.  7.2 A 128Gb 3b/cell V-NAND flash memory with 1Gb/s I/O rate , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[16]  Bo Peng,et al.  MDev-NVMe: A NVMe Storage Virtualization Solution with Mediated Pass-Through , 2018, USENIX Annual Technical Conference.

[17]  Kyungmin Kim,et al.  A 1Tb 4b/cell 64-stacked-WL 3D NAND flash memory with 12MB/s program throughput , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[18]  Onur Mutlu,et al.  Error patterns in MLC NAND flash memory: Measurement, characterization, and analysis , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[19]  Heeseung Jo,et al.  Superblock FTL: A superblock-based flash translation layer with a hybrid address translation scheme , 2010, TECS.

[20]  Jeong-Don Ihm,et al.  256 Gb 3 b/Cell V-nand Flash Memory With 48 Stacked WL Layers , 2017, IEEE Journal of Solid-State Circuits.

[21]  Paul G. Schempp,et al.  Self-Monitoring , 2008 .

[22]  Y. Iwata,et al.  Pipe-shaped BiCS flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices , 2006, 2009 Symposium on VLSI Technology.

[23]  Andrea C. Arpaci-Dusseau,et al.  WiscKey: Separating Keys from Values in SSD-conscious Storage , 2016, FAST.

[24]  John Shalf,et al.  TraceTracker: Hardware/software co-evaluation for large-scale I/O workload reconstruction , 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).

[25]  Onur Mutlu,et al.  HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness , 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[26]  Kyungmin Kim,et al.  13.4 A 512Gb 3-bit/Cell 3D 6th-Generation V-NAND Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface , 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

[27]  Onur Mutlu,et al.  Program interference in MLC NAND flash memory: Characterization, modeling, and mitigation , 2013, ICCD.

[28]  Ying Yu,et al.  11.1 A 512Gb 3b/cell flash memory on 64-word-line-layer BiCS technology , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[29]  Xu Li,et al.  A 512Gb 3b/Cell 3D flash memory on a 96-word-line-layer technology , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[30]  Sang-Won Lee,et al.  A log buffer-based flash translation layer using fully-associative sector translation , 2007, TECS.

[31]  Matias Bjørling,et al.  The CASE of FEMU: Cheap, Accurate, Scalable and Extensible Flash Emulator , 2018, FAST.

[32]  Jeong-Don Ihm,et al.  7.1 256Gb 3b/cell V-NAND flash memory with 48 stacked WL layers , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[33]  Andrew A. Chien,et al.  MittOS: Supporting Millisecond Tail Tolerance with Fast Rejecting SLO-Aware OS Interface , 2017, SOSP.

[34]  Jonghoon Park,et al.  11.4 A 512Gb 3b/cell 64-stacked WL 3D V-NAND flash memory , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[35]  Onur Mutlu,et al.  Data retention in MLC NAND flash memory: Characterization, optimization, and recovery , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[36]  Nikolas Ioannou,et al.  Characterization and Analysis of Bit Errors in 3D TLC NAND Flash Memory , 2019, 2019 IEEE International Reliability Physics Symposium (IRPS).

[37]  Onur Mutlu,et al.  Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation , 2018, SIGMETRICS.

[38]  Hongsheng Xi,et al.  On the design of a new Linux readahead framework , 2008, OPSR.

[39]  Yuan-Hao Chang,et al.  Read leveling for flash storage systems , 2015, SYSTOR.

[40]  Myoungjun Chun,et al.  Exploiting Process Similarity of 3D Flash Memory for High Performance SSDs , 2019, MICRO.

[41]  Andrew A. Chien,et al.  The Tail at Store: A Revelation from Millions of Hours of Disk and SSD Deployments , 2016, FAST.