Morphable Resistive Memory Optimization for Mobile Virtualization

Virtualization offers significant benefits, such as better isolation and security for mobile systems. However, the limited amount of memory and virtualization's memory-demanding nature make it challenging to virtualize mobile systems efficiently. In this paper, we utilize morphable resistive memories to design a high-performance mobile system with an extensible memory space. With morphable resistive memories, a simple and effective page management technique, Balloonfish, is proposed to convert the memory cell state between multilevel and single-level for achieving a balance between performance and memory space. First, an application-specific page allocation is proposed for managing morphable resistive memories in virtualized mobile systems. Besides, we use a balloon-style algorithm to balance memory allocation among multiple virtual machines. Our evaluation based on the Samsung Exynos 5250 system-on-chip with various real Android applications shows that our system achieves 28.63% performance improvement compared with the baseline scheme.

[1]  Tei-Wei Kuo,et al.  On trading wear-leveling with heal-leveling , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[2]  Edwin Hsing-Mean Sha,et al.  Building high-performance smartphones via non-volatile memory: The swap approach , 2014, 2014 International Conference on Embedded Software (EMSOFT).

[3]  Sang-Bum Suh,et al.  Xen on ARM: System Virtualization Using Xen Hypervisor for ARM-Based Secure Mobile Phones , 2008, 2008 5th IEEE Consumer Communications and Networking Conference.

[4]  Tei-Wei Kuo,et al.  A reliability enhancement design under the flash translation layer for MLC-based flash-memory storage systems , 2013, TECS.

[5]  Vijayalakshmi Srinivasan,et al.  Efficient scrub mechanisms for error-prone emerging memories , 2012, IEEE International Symposium on High-Performance Comp Architecture.

[6]  Zili Shao,et al.  PCM-FTL: A Write-Activity-Aware NAND Flash Memory Management Scheme for PCM-Based Embedded Systems , 2011, 2011 IEEE 32nd Real-Time Systems Symposium.

[7]  Yu Wang,et al.  ICE: Inline calibration for memristor crossbar-based computing engine , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[8]  Yiran Chen,et al.  Emerging non-volatile memories: Opportunities and challenges , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[9]  Edwin Hsing-Mean Sha,et al.  Balloonfish: Utilizing morphable resistive memory in mobile virtualization , 2015, The 20th Asia and South Pacific Design Automation Conference.

[10]  Moinuddin K. Qureshi,et al.  Morphable memory system: a robust architecture for exploiting multi-level phase change memories , 2010, ISCA.

[11]  Qingfeng Zhuge,et al.  Application-Specific Wear Leveling for Extending Lifetime of Phase Change Memory in Embedded Systems , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Carl A. Waldspurger,et al.  Memory resource management in VMware ESX server , 2002, OSDI '02.

[13]  Hsien-Hsin S. Lee,et al.  Tri-level-cell phase change memory: toward an efficient and reliable memory system , 2013, ISCA.

[14]  Huazhong Yang,et al.  A compression-based area-efficient recovery architecture for nonvolatile processors , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[15]  Chun Jason Xue,et al.  SLC-enabled wear leveling for MLC PCM considering process variation , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[16]  Zili Shao,et al.  A Space Reuse Strategy for Flash Translation Layers in SLC NAND Flash Memory Storage Systems , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  Tei-Wei Kuo,et al.  Reliability Enhancement of Flash-Memory Storage Systems: An Efficient Version-Based Design , 2013, IEEE Transactions on Computers.

[18]  Yiran Chen,et al.  SBAC: A statistics based cache bypassing method for asymmetric-access caches , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[19]  Harvey Tuch,et al.  The VMware mobile virtualization platform: is that a hypervisor in your pocket? , 2010, OPSR.

[20]  Chen Liu,et al.  Improving multilevel PCM reliability through age-aware reading and writing strategies , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

[21]  Yu Wang,et al.  PS3-RAM: A Fast Portable and Scalable Statistical STT-RAM Reliability/Energy Analysis Method , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[22]  Yiran Chen,et al.  Processor caches built using multi-level spin-transfer torque RAM cells , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[23]  Guangyu Sun,et al.  Exploring Memory Hierarchy Design with Emerging Memory Technologies , 2013, Lecture Notes in Electrical Engineering.

[24]  Chun Jason Xue,et al.  Register allocation for write activity minimization on non-volatile main memory , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[25]  Onur Mutlu,et al.  Techniques for Data Mapping and Buffering to Exploit Asymmetry in Multi-Level Cell (Phase Change) Memory , 2013 .

[26]  Alex Orailoglu,et al.  Power-efficient instruction delivery through trace reuse , 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[27]  Norman P. Jouppi,et al.  Practical nonvolatile multilevel-cell phase change memory , 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

[28]  Huazhong Yang,et al.  PaCC: A Parallel Compare and Compress Codec for Area Reduction in Nonvolatile Processors , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[29]  Peter J. Denning,et al.  Virtual memory , 1970, CSUR.

[30]  Liang Shi,et al.  Wear Relief for High-Density Phase Change Memory Through Cell Morphing Considering Process Variation , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[31]  Yuan Xie,et al.  AdaMS: Adaptive MLC/SLC phase-change memory design for file storage , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[32]  Yu Wang,et al.  PS3-RAM: A fast portable and scalable statistical STT-RAM reliability analysis method , 2012, DAC Design Automation Conference 2012.

[33]  Jun Yang,et al.  A durable and energy efficient main memory using phase change memory technology , 2009, ISCA '09.

[34]  Jason Nieh,et al.  KVM/ARM: the design and implementation of the linux ARM hypervisor , 2014, ASPLOS.

[35]  Tei-Wei Kuo,et al.  Age-based PCM wear leveling with nearly zero search cost , 2012, DAC Design Automation Conference 2012.

[36]  Vijayalakshmi Srinivasan,et al.  Enhancing lifetime and security of PCM-based Main Memory with Start-Gap Wear Leveling , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[37]  Tei-Wei Kuo,et al.  Booting Time Minimization for Real-Time Embedded Systems with Non-Volatile Memory , 2014, IEEE Transactions on Computers.

[38]  Tao Li,et al.  Mercury: A fast and energy-efficient multi-level cell based Phase Change Memory system , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[39]  Dennis Shasha,et al.  2Q: A Low Overhead High Performance Buffer Management Replacement Algorithm , 1994, VLDB.

[40]  Tao Li,et al.  Leveraging phase change memory to achieve efficient virtual machine execution , 2013, VEE '13.

[41]  M. Breitwisch Phase Change Memory , 2008, 2008 International Interconnect Technology Conference.

[42]  Huazhong Yang,et al.  From device to system: Cross-layer design exploration of racetrack memory , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[43]  Onur Mutlu,et al.  Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.

[44]  Yuan Xue,et al.  Prolonging PCM lifetime through energy-efficient, segment-aware, and wear-resistant page allocation , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[45]  Yiran Chen,et al.  Exploration of GPGPU register file architecture using domain-wall-shift-write based racetrack memory , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[46]  Wei-Che Tseng,et al.  Write Activity Minimization for Nonvolatile Main Memory Via Scheduling and Recomputation , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[47]  D. Stewart,et al.  The missing memristor found , 2008, Nature.