Low-power Networks-on-Chip: Progress and remaining challenges
暂无分享,去创建一个
[1] Mohamed A. Abd El-Ghany,et al. Power analysis for Asynchronous CLICHÉ Network-on-Chip , 2010, 23rd IEEE International SOC Conference.
[2] Mario R. Casu,et al. A Simple DVFS Controller for a NoC Switch , 2012 .
[3] Chao Chen,et al. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture , 2013, IEEE Journal of Selected Topics in Quantum Electronics.
[4] Wei Hwang,et al. Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).
[5] Yingtao Jiang,et al. A hybrid chip interconnection architecture with a global wireless network overlaid on top of a wired network-on-chip , 2012, 2012 International Symposium on System on Chip (SoC).
[6] Christof Teuscher,et al. Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.
[7] Mohammed Ismail,et al. Power characteristics of Asynchronous Networks-on-Chip , 2011, 2011 IEEE International SOC Conference.
[8] Hannu Tenhunen,et al. Design and management of high-performance, reliable and thermal-aware 3D networks-on-chip , 2012, IET Circuits Devices Syst..
[9] Yoon Seok Yang,et al. WaveSync: A low-latency source synchronous bypass network-on-chip architecture , 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).
[10] Malgorzata Marek-Sadowska,et al. Low power, high throughput network-on-chip fabric for 3D multicore processors , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).
[11] Li-Shiuan Peh,et al. SWIFT: A Low-Power Network-On-Chip Implementing the Token Flow Control Router Architecture With Swing-Reduced Interconnects , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] David Wentzlaff,et al. Energy characterization of a tiled architecture processor with on-chip networks , 2003, ISLPED '03.
[13] Xi Chen,et al. In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[14] David W. Matolak,et al. Energy efficient modulation for a wireless network-on-chip architecture , 2012, 10th IEEE International NEWCAS Conference.
[15] Akram Ben Ahmed,et al. Low-overhead Routing Algorithm for 3D Network-on-Chip , 2012, 2012 Third International Conference on Networking and Computing.
[16] Hannu Tenhunen,et al. Explorations of optimal core and cache placements for Chip Multiprocessor , 2011, 2011 NORCHIP.
[17] Min Yang,et al. A 90nm CMOS integrated Nano-Photonics technology for 25Gbps WDM optical communications applications , 2012, 2012 International Electron Devices Meeting.
[18] Daniel A. Jiménez,et al. Reducing network-on-chip energy consumption through spatial locality speculation , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[19] Giovanni De Micheli,et al. CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[20] Luca P. Carloni,et al. Networks-on-chip in emerging interconnect paradigms: Advantages and challenges , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[21] John Kim,et al. Low-cost router microarchitecture for on-chip networks , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[22] William J. Dally,et al. Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.
[23] Kenneth S. Stevens,et al. Comparing Energy and Latency of Asynchronous and Synchronous NoCs for Embedded SoCs , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[24] Wei Hwang,et al. Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip , 2008 .
[25] Avinash Karanth Kodi,et al. Extending the Performance and Energy-Efficiency of Shared Memory Multicores with Nanophotonic Technology , 2014, IEEE Transactions on Parallel and Distributed Systems.
[26] Li-Shiuan Peh,et al. Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[27] Chen Sun,et al. Cross-layer Energy and Performance Evaluation of a Nanophotonic Manycore Processor System Using Real Application Workloads , 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium.
[28] Yintang Yang,et al. A novel low-swing transceiver for interconnection between NoC routers , 2011, The 7th International Conference on Digital Content, Multimedia Technology and its Applications.
[29] Yoon Seok Yang,et al. WaveSync: A low-latency source synchronous bypass network-on-chip architecture , 2012, ICCD.
[30] Eisse Mensink,et al. Power Efficient Gigabit Communication Over Capacitively Driven RC-Limited On-Chip Interconnects , 2010, IEEE Journal of Solid-State Circuits.
[31] Mohamed A. Abd El-Ghany,et al. Hybrid Mesh-Ring wireless Network on Chip for multi-core system , 2012, 2012 International SoC Design Conference (ISOCC).
[32] Ki Hwan Yum,et al. Adaptive Data Compression for Low-Power On-Chip Networks , 2011, Low Power Networks-on-Chip.
[33] George Michelogiannakis,et al. Evaluating Bufferless Flow Control for On-chip Networks , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[34] Ki Hwan Yum,et al. Adaptive data compression for high-performance low-power on-chip networks , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[35] Milo M. K. Martin,et al. Why on-chip cache coherence is here to stay , 2012, Commun. ACM.
[36] Hannu Tenhunen,et al. Optimal number and placement of Through Silicon Vias in 3D Network-on-Chip , 2011, 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems.
[37] Uzi Vishkin,et al. A Low-Overhead Asynchronous Interconnection Network for GALS Chip Multiprocessors , 2011, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[38] Anantha Chandrakasan,et al. SMART: A single-cycle reconfigurable NoC for SoC applications , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[39] Hannu Tenhunen,et al. Run-time communication bypassing for energy-efficient, low-latency per-core DVFS on Network-on-Chip , 2010, 23rd IEEE International SOC Conference.
[40] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[41] Manuel E. Acacio,et al. Heterogeneous Interconnects for Energy-Efficient Message Management in CMPs , 2010, IEEE Transactions on Computers.
[42] Simon W. Moore,et al. Designing a Physical Locality Aware Coherence Protocol for Chip-Multiprocessors , 2013, IEEE Transactions on Computers.
[43] Luca Benini,et al. Performability/Energy Tradeoff in Error-Control Schemes for On-Chip Networks , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[44] Mahmut T. Kandemir,et al. A hybrid NoC design for cache coherence optimization for chip multiprocessors , 2012, DAC Design Automation Conference 2012.