Practical, fast Monte Carlo statistical static timing analysis: why and how

Statistical static timing analysis (SSTA) has emerged as an essential tool for nanoscale designs. Monte Carlo methods are universally employed to validate the accuracy of the approximations made in all SSTA tools, but Monte Carlo itself is never employed as a strategy for practical SSTA. It is widely believed to be ldquotoo slowrdquo - despite an uncomfortable lack of rigorous studies to support this belief. We offer the first large-scale study to refute this belief. We synthesize recent results from fast quasi-Monte Carlo (QMC) deterministic sampling and efficient Karhunen-Loeve expansion (KLE) models of spatial correlation to show that Monte Carlo SSTA need not be slow. Indeed, we show for the ISCAS89 circuits, a few hundred, well-chosen sample points can achieve errors within 5%, with no assumptions on gate models, wire models, or the core STA engine, with runtimes less than 90 s.

[1]  M. Stein Large sample properties of simulations using latin hypercube sampling , 1987 .

[2]  P. Ghanta,et al.  A Framework for Statistical Timing Analysis using Non-Linear Delay and Slew Models , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[3]  I. Sloan,et al.  Low discrepancy sequences in high dimensions: How well are their projections distributed? , 2008 .

[4]  Mark Horowitz,et al.  Signal Delay in RC Tree Networks , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Sani R. Nassif,et al.  An Accurate Sparse Matrix Based Framework for Statistical Static Timing Analysis , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[6]  K. Ravindran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Michel Loève,et al.  Probability Theory I , 1977 .

[8]  A. Owen Randomly Permuted (t,m,s)-Nets and (t, s)-Sequences , 1995 .

[9]  Paul Glasserman,et al.  Monte Carlo Methods in Financial Engineering , 2003 .

[10]  Sachin S. Sapatnekar,et al.  Statistical timing analysis with correlated non-Gaussian parameters using independent component analysis , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[11]  Vladimir Zolotov,et al.  Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[12]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[13]  Rajendran Panda,et al.  Statistical delay computation considering spatial correlations , 2003, ASP-DAC '03.

[14]  Andrzej J. Strojwas,et al.  Projection-based performance modeling for inter/intra-die variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[15]  M. D. McKay,et al.  A comparison of three methods for selecting values of input variables in the analysis of output from a computer code , 2000 .

[16]  M. Hane,et al.  Atomistic 3D process/device simulation considering gate line-edge roughness and poly-Si random crystal orientation effects [MOSFETs] , 2003, IEEE International Electron Devices Meeting 2003.

[17]  Rob A. Rutenbar,et al.  From Finance to Flip Flops: A Study of Fast Quasi-Monte Carlo Methods from Computational Finance Applied to Statistical Circuit Analysis , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[18]  Fred J. Hickernell,et al.  Algorithm 823: Implementing scrambled digital sequences , 2003, TOMS.

[19]  Andrew B. Kahng,et al.  Can recursive bisection alone produce routable, placements? , 2000, Proceedings 37th Design Automation Conference.

[20]  Jinjun Xiong,et al.  Robust Extraction of Spatial Correlation , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[21]  J. Hammersley,et al.  Monte Carlo Methods , 1965 .

[22]  Rob A. Rutenbar,et al.  Novel Algorithms for Fast Statistical Analysis of Scaled Circuits , 2009, Lecture Notes in Electrical Engineering.

[23]  Robert V. Hogg,et al.  Introduction to Mathematical Statistics. , 1966 .

[24]  Costas J. Spanos,et al.  Modeling within-die spatial correlation effects for process-design co-optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).

[25]  Rob A. Rutenbar,et al.  Exploiting Correlation Kernels for Ef£cient Handling of Intra-Die Spatial Correlation, with Application to Statistical Timing , 2008, 2008 Design, Automation and Test in Europe.

[26]  J. Kiefer On large deviations of the empiric D. F. of vector chance variables and a law of the iterated logarithm. , 1961 .

[27]  Harald Niederreiter,et al.  The Algebraic-Geometry Approach to Low-Discrepancy Sequences , 1998 .

[28]  Sachin S. Sapatnekar,et al.  Statistical timing analysis under spatial correlations , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  George S. Fishman,et al.  A First Course in Monte Carlo , 2005 .

[30]  Chandramouli V. Kashyap,et al.  Closed-form expressions for extending step delay and slew metrics to ramp inputs for RC trees , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[31]  E. Hlawka Funktionen von beschränkter Variatiou in der Theorie der Gleichverteilung , 1961 .