Through-Silicon Via Planning in 3-D Floorplanning

In this paper, we will study floorplanning in 3-D integrated circuits (3D-ICs). Although literature is abundant on 3D-IC floorplanning, none of them consider the areas and positions of signal through-silicon vias (TSVs). In previous research, signal TSVs are viewed as points during the floorplanning stage. Ignoring the areas, positions and connections of signal TSVs, previous research estimates wirelength by measuring the half-perimeter wirelength of pins in a net only. Experimental results reveal that 29.7% of nets possess signal TSVs that cannot be put into the white space within the bounding boxes of pins. Moreover, the total wirelength is underestimated by 26.8% without considering the positions of signal TSVs. The considerable error in wirelength estimation severely degrades the optimality of the floorplan result. Therefore, in this paper, we will propose a two-stage 3-D fixed-outline floorplaning algorithm. Stage one simultaneously plans hard macros and TSV-blocks for wirelength reduction. Stage two improves the wirelength by reassigning signal TSVs. Experimental results show that stage one outperforms a post-processing TSV planning algorithm in successful rate by 57%. Compared to the post-processing TSV planning algorithm, the average wirelength of our result is shorter by 22.3%. In addition, stage two further reduces the wirelength by 3.45% without any area overhead.

[1]  Sachin S. Sapatnekar,et al.  Placement of 3D ICs with Thermal and Interlayer Via Considerations , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[2]  Li Shang,et al.  3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[3]  Jason Cong,et al.  Thermal-Aware 3D IC Placement Via Transformation , 2007, 2007 Asia and South Pacific Design Automation Conference.

[4]  Qiang Zhou,et al.  Integrating dynamic thermal via planning with 3D floorplanning algorithm , 2006, ISPD '06.

[5]  Jing Li,et al.  Post-placement Thermal Via Planning for 3D Integrated Circuit , 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.

[6]  Sheqin Dong,et al.  Simultaneous buffer and interlayer via planning for 3D floorplanning , 2009, 2009 10th International Symposium on Quality Electronic Design.

[7]  H. Murata,et al.  Rectangle-packing-based module placement , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[8]  Jason Cong,et al.  LP based white space redistribution for thermal via planning and performance optimization in 3D ICs , 2008, 2008 Asia and South Pacific Design Automation Conference.

[9]  Mitsumasa Koyanagi,et al.  Handbook of 3D Integration , 2008 .

[10]  Song Chen,et al.  Performance maximized interlayer via planning for 3D ICs , 2007, 2007 7th International Conference on ASIC.

[11]  Sung Kyu Lim,et al.  Co-design of signal, power, and thermal distribution networks for 3D ICs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[12]  Jason Cong,et al.  Thermal via planning for 3-D ICs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[13]  Yao-Wen Chang,et al.  IMF: interconnect-driven multilevel floorplanning for large-scale building-module designs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[14]  Jason Cong,et al.  Quantitative Studies of Impact of 3 D IC Design on Repeater Usage , 2008 .

[15]  Subarna Sinha,et al.  The road to 3D EDA tool readiness , 2009, 2009 Asia and South Pacific Design Automation Conference.

[16]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[17]  K. Warner,et al.  Three-dimensional integrated circuits for low-power, high-bandwidth systems on a chip , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[18]  Peter Ramm,et al.  Handbook of 3D integration : technology and applications of 3D integrated circuits , 2012 .

[19]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[20]  Sachin S. Sapatnekar,et al.  Temperature-aware routing in 3D ICs , 2006, Asia and South Pacific Conference on Design Automation, 2006..