Array-Based Approximate Arithmetic Computing: A General Model and Applications to Multiplier and Squarer Design

We propose a general model for array-based approximate arithmetic computing (AAAC) to guide the minimization of processing error. As part of this model, the Error Compensation Unit (ECU) is identified as a key building block for a wide range of AAAC circuits. We develop theoretical analysis geared towards addressing two critical design problems of the ECU, namely, determination of optimal error compensation values and identification of the optimal error compensation scheme. We demonstrate how this general AAAC model can be leveraged to derive practical design insights that lead to optimal tradeoffs between accuracy, energy dissipation and area overhead. To further minimize energy consumption, delay and area of AAAC circuits, we perform ECU design simplification by introducing logic don't cares. By applying this model and using a commercial 90 nm CMOS standard cell library, we propose an approximate 16 × 16 fixed-width Booth multiplier that consumes 44.85% and 28.33% less energy and area compared with theoretically the most accurate fixed-width Booth multiplier. Furthermore, it reduces average error, max error and mean squared error by 11.11%, 28.11%, and 25.00%, respectively, when compared with the most accurate reported approximate Booth multiplier and outperforms the same design significantly by 19.10% for the energy-delay-mean squared error product. Using the same approach, significant energy consumption, area and error reduction is achieved for a squarer unit. To further reduce error and cost by utilizing extra signatures and don't cares, we demonstrate a 16-bit fixed-width squarer that improves the energy-delay-max error product by 15.81%.

[1]  Tsin-Yuan Chang,et al.  A Probabilistic Estimation Bias Circuit for Fixed-Width Booth Multiplier and Its DCT Applications , 2011, IEEE Transactions on Circuits and Systems II: Express Briefs.

[2]  David Harris,et al.  CMOS VLSI Design: A Circuits and Systems Perspective , 2004 .

[3]  D. H. Jacobsohn,et al.  A Suggestion for a Fast Multiplier , 1964, IEEE Trans. Electron. Comput..

[4]  E. J. King,et al.  Data-dependent truncation scheme for parallel multipliers , 1997, Conference Record of the Thirty-First Asilomar Conference on Signals, Systems and Computers (Cat. No.97CB36136).

[5]  Van-Phuc Hoang,et al.  Low-error and efficient fixed-width squarer for digital signal processing applications , 2012, 2012 Fourth International Conference on Communications and Electronics (ICCE).

[6]  Tsin-Yuan Chang,et al.  A High-Accuracy Adaptive Conditional-Probability Estimator for Fixed-Width Booth Multipliers , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[7]  Yong Zhang,et al.  An energy efficient approximate adder with carry skip for error resilient neuromorphic VLSI systems , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[8]  Michael J. Schulte,et al.  Efficient function approximation using truncated multipliers and squarers , 2005, 17th IEEE Symposium on Computer Arithmetic (ARITH'05).

[9]  Peter J. Varman,et al.  High performance reliable variable latency carry select addition , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[10]  Sy-Yen Kuo,et al.  Adaptive Low-Error Fixed-Width Booth Multipliers , 2007, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

[11]  Kenneth E. Batcher,et al.  Sorting networks and their applications , 1968, AFIPS Spring Joint Computing Conference.

[12]  Daniel Brand,et al.  Efficient use of large don't cares in high-level and logic synthesis , 1995, ICCAD.

[13]  Amudha,et al.  Design of Low-Error Fixed-Width Modified Booth Multiplier , 2012 .

[14]  Yuan-Ho Chen An Accuracy-Adjustment Fixed-Width Booth Multiplier Based on Multilevel Conditional Probability , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Shyh-Jye Jou,et al.  Low-error reduced-width Booth multipliers for DSP applications , 2003 .

[16]  Fabio Somenzi,et al.  Logic synthesis and verification algorithms , 1996 .

[17]  Vojin G. Oklobdzija,et al.  A Method for Speed Optimized Partial Product Reduction and Generation of Fast Parallel Multipliers Using an Algorithmic Approach , 1996, IEEE Trans. Computers.

[18]  E. Swartzlander,et al.  Low power parallel multipliers , 1996, VLSI Signal Processing, IX.

[19]  Shiann-Rong Kuang,et al.  High-Accuracy Fixed-Width Modified Booth Multipliers for Lossy Applications , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Gang Wang,et al.  Enhanced low-power high-speed adder for error-tolerant application , 2009, 2010 International SoC Design Conference.

[21]  Michael J. Schulte,et al.  Truncated squarers with constant and variable correction , 2004, SPIE Optics + Photonics.

[22]  E. Swartzlander,et al.  Truncated multiplication with correction constant [for DSP] , 1993, Proceedings of IEEE Workshop on VLSI Signal Processing.

[23]  Peng Li,et al.  A model for array-based approximate arithmetic computing with application to multiplier and squarer design , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[24]  Hsie-Chia Chang,et al.  A self-compensation fixed-width booth multiplier and its 128-point FFT applications , 2006, 2006 IEEE International Symposium on Circuits and Systems.