EVAL: Utilizing processors with variation-induced timing errors
暂无分享,去创建一个
Josep Torrellas | Smruti R. Sarangi | Abhishek Tiwari | Brian Greskamp | J. Torrellas | S. Sarangi | B. Greskamp | A. Tiwari
[1] Ishiuchi,et al. Alpha-Power Law MOSFET Model and its Applications to CMOS Inverter Delay and Other Formulas , 2004 .
[2] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[3] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[4] Kevin Skadron,et al. Impact of Process Variations on Multicore Performance Symmetry , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[5] David M. Brooks,et al. Mitigating the Impact of Process Variations on Processor Register Files and Execution Units , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[6] J. Torrellas,et al. VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.
[7] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[8] David Blaauw,et al. Statistical Analysis and Optimization for VLSI: Timing and Power , 2005, Series on Integrated Circuits and Systems.
[9] James E. Smith,et al. Managing multi-configuration hardware via dynamic working set analysis , 2002, ISCA.
[10] Josep Torrellas,et al. ReCycle:: pipeline adaptation to tolerate process variation , 2007, ISCA '07.
[11] David Blaauw,et al. Timing error correction techniques for voltage-scalable on-chip memories , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[12] Augustus K. Uht. Achieving Typical Delays in Synchronous Systems via Timing Error Toleration , 2000 .
[13] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[14] James Tschanz,et al. Adaptive circuit techniques to minimize variation impacts on microprocessor performance and power , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[15] T. Chen,et al. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[16] Todd M. Austin,et al. A fault tolerant approach to microprocessor design , 2001, 2001 International Conference on Dependable Systems and Networks.
[17] Toshinori Sato. Constructive timing violation for improving energy efficiency , 2001 .
[18] Meeta Sharma Gupta,et al. System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[19] Josep Torrellas,et al. Uncorq: Unconstrained Snoop Request Delivery in Embedded-Ring Multiprocessors , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[20] Jose Renau,et al. Effective Optimistic-Checker Tandem Core Design through Architectural Pruning , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[21] Josep Torrellas,et al. Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[22] Trevor Mudge,et al. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.
[23] Josep Torrellas,et al. Paceline: Improving Single-Thread Performance in Nanoscale CMPs through Core Overclocking , 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).
[24] Borivoje Nikolic,et al. Combining dual-supply, dual-threshold and transistor sizing for power reduction , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[25] Michael C. Huang,et al. Positional adaptation of processors: application to energy reduction , 2003, ISCA '03.
[26] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[27] J. Torrellas,et al. An Updated Evaluation of ReCycle , 2008 .
[28] Emil Talpes,et al. Variability and energy awareness: a microarchitecture-level perspective , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[29] Sule Ozev,et al. Quantifying the Impact of Process Variability on Microprocessor Behavior , 2006 .
[30] Kevin Skadron,et al. HotLeakage: A Temperature-Aware Model of Subthreshold and Gate Leakage for Architects , 2003 .
[31] Tong Liu,et al. Performance improvement with circuit-level speculation , 2000, MICRO 33.
[32] Li-Xin Wang,et al. Adaptive fuzzy systems and control - design and stability analysis , 1994 .
[33] Margaret Martonosi,et al. Long-term workload phases: duration predictions and applications to DVFS , 2005, IEEE Micro.
[34] Michael L. Scott,et al. Integrating adaptive on-chip storage structures for reduced dynamic power , 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.
[35] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[36] S. Narendra,et al. 1.1 V 1 GHz communications router with on-chip body bias in 150 nm CMOS , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[37] David M. Brooks,et al. An Adaptive Issue Queue for Reduced Power at High Performance , 2000, PACS.
[38] Brad Calder,et al. Phase tracking and prediction , 2003, ISCA '03.
[39] Yuan Chou,et al. Low-Cost Epoch-Based Correlation Prefetching for Commercial Applications , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).