An Energy-Efficient Reconfigurable NoC Architecture with RF-Interconnects

This paper presents a novel methodology to provide a promising solution for complex on-chip communication problems in order to reduce power consumption and delay. Our proposed reconfigurable Network-on-Chip (NoC) architecture is integrated with the radio frequency Interconnect (RF-I) with signal propagation at the speed of light. It is based on setting up express shortcut paths (ESPs) which include single-cycle multi-hop RF-I shortcut between selected pairs of NoCs cores. Hence, the packets belonging to distance nodes in the network can bypass intermediate routers while traveling through these ESPs. In this scheme, ESPs are constructed based on an energy model and performance constraints to accelerate critical communication path at the design time. Further, we also try to optimize these ESPs by using a novel flow control at a run time. Additionally, a suitable routing algorithm is suggested to support the packet to reach their destinations appropriately without struggling in congested paths. The experimental results captured by SoCs applications reveal that in comparison with the conventional NoC router, the proposed router takes 49% and 74% reduction in latency and energy, respectively besides 8.7% area overhead.

[1]  Andrew B. Kahng,et al.  ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[2]  Vwani P. Roychowdhury,et al.  RF/wireless interconnect for inter- and intra-chip communications , 2001, Proc. IEEE.

[3]  Luca Benini,et al.  NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.

[4]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[5]  Jason Cong,et al.  CMP network-on-chip overlaid with multi-band RF-interconnect , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[6]  Luca Benini,et al.  Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[7]  Radu Marculescu,et al.  "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  Natalie D. Enright Jerger,et al.  Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  L. Benini,et al.  Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[10]  Jason Cong,et al.  A scalable micro wireless interconnect structure for CMPs , 2009, MobiCom '09.

[11]  José Duato,et al.  A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources , 2001, IEEE Trans. Parallel Distributed Syst..

[12]  Jason Cong,et al.  RF interconnects for communications on-chip , 2008, ISPD '08.

[13]  William J. Dally,et al.  Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.

[14]  Eran Socher,et al.  Can RF help CMOS processors , 2007 .

[15]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[16]  Jason Cong,et al.  Power reduction of CMP communication networks via RF-interconnects , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

[17]  Karthik Ramani,et al.  Interconnect-Aware Coherence Protocols for Chip Multiprocessors , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[18]  David A. Wood,et al.  TLC: Transmission Line Caches , 2003, MICRO.

[19]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[20]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[21]  Luca Benini,et al.  Packetized on-chip interconnect communication analysis for MPSoC , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[22]  Mau-Chung Frank Chang,et al.  Can RF Help CMOS Processors? [Topics in Circuits for Communications] , 2007, IEEE Communications Magazine.

[23]  M. Gail Jones,et al.  It's a Small World After All. , 2005 .