An Overview of FPGA-inspired Obfuscation Techniques
暂无分享,去创建一个
[1] Jordan Maynard,et al. Evaluating the Security of eFPGA-based Redaction Algorithms , 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[2] R. Karri,et al. Reconfigurable Logic for Hardware IP Protection: Opportunities and Challenges (Invited Paper) , 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[3] C. Paar,et al. A critical view on the real-world security of logic locking , 2022, Journal of Cryptographic Engineering.
[4] Prattay Chowdhury,et al. Predictive Model Attack for Embedded FPGA Logic Locking , 2022, International Symposium on Low Power Electronics and Design.
[5] Mayler G. A. Martins,et al. A Security-aware and LUT-based CAD Flow for the Physical Synthesis of eASICs , 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[6] Sai Manoj Pudukotai Dinakarrao,et al. Silicon validation of LUT-based logic-locked IP cores , 2022, DAC.
[7] Sai Manoj Pudukotai Dinakarrao,et al. LOCK&ROLL: deep-learning power side-channel attack mitigation using emerging reconfigurable devices and logic locking , 2022, DAC.
[8] Y. Makris,et al. Investigating the Effect of different eFPGAs fabrics on Logic Locking through HW Redaction , 2022, 2022 IEEE 15th Dallas Circuit And System Conference (DCAS).
[9] S. Pagliarini,et al. Obfuscating the Hierarchy of a Digital IP , 2022, International Conference / Workshop on Embedded Computer Systems: Architectures, Modeling and Simulation.
[10] Abdul Khader Thalakkattu Moosa,et al. ALICE: an automatic design flow for eFPGA redaction , 2022, Design Automation Conference.
[11] H. Mahmoodi,et al. Breaking the Design and Security Trade-off of Look-up-table–based Obfuscation , 2022, ACM Trans. Design Autom. Electr. Syst..
[12] S. Pagliarini,et al. Hardware Trojan Insertion in Finalized Layouts: From Methodology to a Silicon Demonstration , 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[13] Houman Homayoun,et al. Securing Hardware via Dynamic Obfuscation Utilizing Reconfigurable Interconnect and Logic Blocks , 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).
[14] Ramesh Karri,et al. Not All Fabrics Are Created Equal: Exploring eFPGA Parameters For IP Redaction , 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[15] Ramesh Karri,et al. Exploring eFPGA-based Redaction for IP Protection , 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[16] Zain Ul Abideen,et al. From FPGAs to Obfuscated eASICs: Design and Security Trade-offs , 2021, 2021 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).
[17] Swarup Bhunia,et al. SCOPE: Synthesis-Based Constant Propagation Attack on Logic Locking , 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[18] Pierluigi Nuzzo,et al. Enhancing SAT-Attack Resiliency and Cost-Effectiveness of Reconfigurable-Logic-Based Circuit Obfuscation , 2021, 2021 IEEE International Symposium on Circuits and Systems (ISCAS).
[19] Lawrence T. Pileggi,et al. Hardware Redaction via Designer-Directed Fine-Grained eFPGA Insertion , 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[20] Benjamin Carrión Schäfer,et al. Area Efficient Functional Locking through Coarse Grained Runtime Reconfigurable Architectures , 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).
[21] David Z. Pan,et al. ReGDS: A Reverse Engineering Framework from GDSII to Gate-level Netlist , 2020, 2020 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
[22] Yiorgos Makris,et al. DECOY: DEflection-Driven HLS-Based Computation Partitioning for Obfuscating Intellectual PropertY , 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).
[23] Tiago D. Perez,et al. A Survey on Split Manufacturing: Attacks, Defenses, and Challenges , 2020, IEEE Access.
[24] Lawrence Pileggi,et al. Latch-Based Logic Locking , 2020, 2020 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
[25] Yiorgos Makris,et al. ATTEST: Application-Agnostic Testing of a Novel Transistor-Level Programmable Fabric , 2020, 2020 IEEE 38th VLSI Test Symposium (VTS).
[26] Jeyavijayan Rajendran,et al. Removal Attacks on Logic Locking and Camouflaging Techniques , 2020, IEEE Transactions on Emerging Topics in Computing.
[27] Swarup Bhunia,et al. Sweep to the Secret: A Constant Propagation Attack on Logic Locking , 2019, 2019 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).
[28] Avesta Sasan,et al. Security and Complexity Analysis of LUT-based Obfuscation: From Blueprint to Reality , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[29] Bo Hu,et al. Functional Obfuscation of Hardware Accelerators through Selective Partial Design Extraction onto an Embedded FPGA , 2019, ACM Great Lakes Symposium on VLSI.
[30] Avesta Sasan,et al. Threats on Logic Locking: A Decade Later , 2019, ACM Great Lakes Symposium on VLSI.
[31] Avesta Sasan,et al. On Custom LUT-based Obfuscation , 2019, ACM Great Lakes Symposium on VLSI.
[32] Bo Hu,et al. Design Obfuscation through Selective Post-Fabrication Transistor-Level Programming , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[33] Sagheer Ahmad,et al. Network-on-Chip Programmable Platform in VersalTM ACAP Architecture , 2019, FPGA.
[34] Ramesh Karri,et al. Opening the Doors to Dynamic Camouflaging: Harnessing the Power of Polymorphic Devices , 2018, IEEE Transactions on Emerging Topics in Computing.
[35] Hamid Mahmoodi,et al. Static Design of Spin Transfer Torques Magnetic Look Up Tables for ASIC Designs , 2018, ACM Great Lakes Symposium on VLSI.
[36] Avesta Sasan,et al. LUT-Lock: A Novel LUT-Based Logic Obfuscation for FPGA-Bitstream and ASIC-Hardware Protection , 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[37] Ozgur Sinanoglu,et al. Advancing hardware security using polymorphic and stochastic spin-hall effect devices , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[38] Yiran Chen,et al. Exploiting Spin-Orbit Torque Devices As Reconfigurable Logic for Circuit Obfuscation , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[39] Ozgur Sinanoglu,et al. Evolution of logic locking , 2017, 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).
[40] Jeyavijayan Rajendran,et al. Provably-Secure Logic Locking: From Theory To Practice , 2017, CCS.
[41] Qihang Shi,et al. Probing Attacks on Integrated Circuits: Challenges and Research Opportunities , 2017, IEEE Design & Test.
[42] Ankur Srivastava,et al. Delay locking: Security enhancement of logic locking against IC counterfeiting and overproduction , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[43] Meng Li,et al. Provably secure camouflaging strategy for IC protection , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[44] Russell Tessier,et al. Effects of I/O routing through column interfaces in embedded FPGA fabrics , 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).
[45] Houman Homayoun,et al. Hybrid STT-CMOS designs for reverse-engineering prevention , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[46] Sayak Ray,et al. Evaluating the security of logic encryption algorithms , 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
[47] Ramesh Karri,et al. A Primer on Hardware Security: Models, Methods, and Metrics , 2014, Proceedings of the IEEE.
[48] Mark Mohammad Tehranipoor,et al. Counterfeit Integrated Circuits: A Rising Threat in the Global Semiconductor Supply Chain , 2014, Proceedings of the IEEE.
[49] Ronald P. Cocchi,et al. Circuit camouflage integration for hardware IP protection , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[50] Brandon Wang,et al. Embedded reconfigurable logic for ASIC design obfuscation against supply chain attacks , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[51] A. Brataas,et al. Spin-orbit torques in action. , 2014, Nature nanotechnology.
[52] Jeyavijayan Rajendran,et al. Is split manufacturing secure? , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[53] Mario Konijnenburg,et al. ULP-SRP: Ultra low power Samsung Reconfigurable Processor for biomedical applications , 2012, 2012 International Conference on Field-Programmable Technology.
[54] Richard Campbell,et al. The radical future of NVM , 2012 .
[55] Jürgen Teich,et al. Partial reconfiguration on FPGAs in practice — Tools and applications , 2012, ARCS 2012.
[56] Dick James,et al. The state-of-the-art in semiconductor reverse engineering , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[57] Farinaz Koushanfar,et al. Integrated circuits metering for piracy protection and digital rights management: an overview , 2011, GLSVLSI '11.
[58] Mark Mohammad Tehranipoor,et al. Trustworthy Hardware: Identifying and Classifying Hardware Trojans , 2010, Computer.
[59] Wang Lie,et al. Dynamic Partial Reconfiguration in FPGAs , 2009, 2009 Third International Symposium on Intelligent Information Technology Application.
[60] Jarrod A. Roy,et al. EPIC: Ending Piracy of Integrated Circuits , 2008, 2008 Design, Automation and Test in Europe.
[61] M. Borgatti,et al. A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA and customisable I/O , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).
[62] Fadi J. Kurdahi,et al. MorphoSys: An Integrated Reconfigurable System for Data-Parallel and Computation-Intensive Applications , 2000, IEEE Trans. Computers.
[63] Stephen Trimberger,et al. FPGA Technology: Past, Present and Future , 1995, ESSCIRC '95: Twenty-first European Solid-State Circuits Conference.
[64] Swarup Bhunia,et al. SAIL: Analyzing Structural Artifacts of Logic Locking Using Machine Learning , 2021, IEEE Transactions on Information Forensics and Security.
[65] Jeyavijayan Rajendran,et al. Trustworthy Hardware Design: Combinational Logic Locking Techniques , 2020 .
[66] Joseph Zambreno,et al. Preventing IC Piracy Using Reconfigurable Logic Barriers , 2010, IEEE Design & Test of Computers.
[67] M. Glesner,et al. A Parallel Dynamically Reconfigurable Architecture Designed for Flexible Application-Tailored Hardware/Software Systems in Future Mobile Communication , 2005, The Journal of Supercomputing.
[68] J. Rabaey,et al. Subject Area : Signal Processing A 1 V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications , 2000 .
[69] G. Wright. Semiconductor Engineering , 1970, Nature.