High-Throughput Compact Delay-Insensitive Asynchronous NoC Router

A new asynchronous delay-insensitive data-transmission method based on level-encoded dual-rail (LEDR) encoding with novel packet-structure restriction is proposed to realize a high-throughput network-on-chip (NoC) router together with a compact hardware. The use of LEDR encoding makes communication steps and the registers being used half in comparison with four-phase dual-rail encoding because the spacer information of the four-phase one is eliminated, which significantly improves the network throughput. By using the proposed packet structure, the phase information of header and tail flits is uniquely determined. Since the router can be asynchronously controlled by ignoring the phase information, the circuit is compactly implemented. As a result, the proposed asynchronous NoC router on a 0.13-μm CMOS technology, has a 90 percent increase in throughput and a 34 percent decrease in energy dissipation with 25 percent area overhead in comparison with a conventional four-phase asynchronous NoC router under a postlayout simulation. Under a random traffic pattern in a 4 x 4 2D mesh topology, the proposed asynchronous NoC has a 140 percent increase in throughput and half packet latency compared with the conventional one. We also fabricate the asynchronous NoC based on the proposed router on a 0.13-μm CMOS technology and demonstrate the chip correctly operates under a supply voltage of 0.6 to 1.8 V.

[1]  Ran Ginosar,et al.  High Rate Data Synchronization in GALS SoCs , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Steven M. Nowick,et al.  Asynchronous Protocol Converters for Two-Phase Delay-Insensitive Global Communication , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Mark R. Greenstreet,et al.  Practical Asynchronous Interconnect Network Design , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Andrew Lines,et al.  Asynchronous interconnect for synchronous SoC design , 2004, IEEE Micro.

[5]  Alexandre Yakovlev,et al.  Concurrent Multiresource Arbiter: Design and Applications , 2013, IEEE Transactions on Computers.

[6]  Ran Ginosar,et al.  An asynchronous router for multiple service levels networks on chip , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[7]  Steven M. Nowick,et al.  MOUSETRAP: High-Speed Transition-Signaling Asynchronous Pipelines , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  S. Borkar,et al.  An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[9]  Steven M. Nowick,et al.  A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication , 2008, 2008 14th IEEE International Symposium on Asynchronous Circuits and Systems.

[10]  Chifeng Wang,et al.  A scalable delay insensitive asynchronous NoC with adaptive routing , 2010, 2010 17th International Conference on Telecommunications.

[11]  Fabien Clermidy,et al.  A fully-asynchronous low-power framework for GALS NoC integration , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[12]  Pankaj Golani,et al.  Design of a High-Speed Asynchronous Turbo Decoder , 2007, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07).

[13]  Atsushi Matsumoto,et al.  Accurate Asynchronous Network-on-Chip Simulation Based on a Delay-Aware Model , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[14]  P. Jensen,et al.  The Design of an Asynchronous TinyRISCTM TR4101 Microprocessor Core , 1998 .

[15]  Jens Sparsø,et al.  Implementation of guaranteed services in the MANGO clockless network-on-chip , 2006 .

[16]  Jens Sparsø,et al.  The design of an asynchronous TinyRISC/sup TM/ TR4101 microprocessor core , 1998, Proceedings Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems.

[17]  Kenneth S. Stevens,et al.  Link pipelining strategies for an application-specific asynchronous NoC , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[18]  David L. Dill,et al.  Efficient self-timing with level-encoded 2-phase dual-rail (LEDR) , 1991 .

[19]  Christian Bernard,et al.  A Telecom Baseband Circuit based on an Asynchronous Network-on-Chip , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[20]  Mohamed I. Elmasry,et al.  Modeling and comparing CMOS implementations of the C-element , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[21]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[22]  Jens Sparsø,et al.  Principles of Asynchronous Circuit Design , 2001 .

[23]  Uzi Vishkin,et al.  A Low-Overhead Asynchronous Interconnection Network for GALS Chip Multiprocessors , 2011, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.

[24]  Tam-Anh Chu,et al.  Synthesis of self-timed VLSI circuits from graph-theoretic specifications , 1987 .

[25]  Vincent C. Gaudet,et al.  Low-Energy Asynchronous Interleaver for Clockless Fully Parallel LDPC Decoding , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[26]  Doug A. Edwards,et al.  A low latency wormhole router for asynchronous on-chip networks , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[27]  M. Coppola,et al.  Spidergon: a novel on-chip communication network , 2004, 2004 International Symposium on System-on-Chip, 2004. Proceedings..

[28]  Stephen B. Furber,et al.  Chain: A Delay-Insensitive Chip Area Interconnect , 2002, IEEE Micro.

[29]  Steve Furber,et al.  Principles of Asynchronous Circuit Design: A Systems Perspective , 2010 .

[30]  Kwabena Boahen,et al.  A 1-change-in-4 delay-insensitive interchip link , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[31]  Naoya Onizawa,et al.  High-throughput protocol converter based on an independent encoding/decoding scheme for asynchronous Network-on-Chip , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.