Reliability of emerging nanodevices

This chapter describes the various failure mechanisms that degrade the reliability of nanoelectronic logic and memory devices and systems. The first part deals with the evolution in semiconductor technology over the past decade in terms of new material and device architectures that are being explored. Following this, the key failure mechanisms at the front end, back end, and package level for logic technology and their driving forces are discussed in-depth along with a brief overview of degradation metrics in nonvolatile memory devices as well. Finally, the challenges that we face in establishing a robust reliability test and assessment methodology for nanoelectronic systems are highlighted.

[1]  Paul S. McLaughlin,et al.  The effect of a threshold failure time and bimodal behavior on the electromigration lifetime of copper interconnects , 2009, IRPS 2009.

[2]  Hisao Kawasaki,et al.  Statistical analysis of early failures in electromigration , 2001 .

[3]  Vincent M. Dwyer Analysis of critical-length data from Electromigration failure studies , 2011, Microelectron. Reliab..

[4]  S.C. Rustagi,et al.  High-performance fully depleted silicon nanowire (diameter /spl les/ 5 nm) gate-all-around CMOS devices , 2006, IEEE Electron Device Letters.

[5]  K. Tu,et al.  Electromigration and Thermomigration in Pb-Free Flip-Chip Solder Joints , 2010 .

[6]  Hiroshi Iwai,et al.  Grain boundary assisted degradation and breakdown study in cerium oxide gate dielectric using scanning tunneling microscopy , 2011 .

[7]  J. Stathis,et al.  Dielectric breakdown mechanisms in gate oxides , 2005 .

[8]  Yoshitaka Tsunashima,et al.  Improvement of threshold voltage deviation in damascene metal gate transistors , 2001 .

[9]  M. Tsai,et al.  Performance enhancement in p-channel charge-trapping flash memory devices with Si/Ge super-lattice channel and band-to-band tunneling induced hot-electron injection , 2013 .

[10]  Cher Ming Tan,et al.  Black's equation for today's ULSI interconnect Electromigration reliability — A revisit , 2011, 2011 IEEE International Conference of Electron Devices and Solid-State Circuits.

[11]  L. Larcher,et al.  The “buffering” role of high-к in post breakdown degradation immunity of advanced dual layer dielectric gate stacks , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[12]  E.Y. Wu,et al.  On Voltage Acceleration Models of Time to Breakdown—Part I: Experimental and Analysis Methodologies , 2009, IEEE Transactions on Electron Devices.

[13]  D. Ney,et al.  Effect of reservoir on electromigration of short interconnects , 2010, 2010 IEEE International Integrated Reliability Workshop Final Report.

[14]  J. McPherson,et al.  Time Dependent Dielectric Breakdown Characteristics of Low-k Dielectric (SiOC) Over a Wide Range of Test Areas and Electric Fields , 2007, 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual.

[15]  Kumar Virwani,et al.  Evidence of Crystallization–Induced Segregation in the Phase Change Material Te-Rich GST , 2011 .

[17]  L. Goux,et al.  Microscopic origin of random telegraph noise fluctuations in aggressively scaled RRAM and its impact on read disturb variability , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[18]  P. Soussan,et al.  Comprehensive analysis of the impact of single and arrays of through silicon vias induced stress on high-k / metal gate CMOS performance , 2010, 2010 International Electron Devices Meeting.

[19]  L. Larcher,et al.  A physics-based model of the dielectric breakdown in HfO2 for statistical reliability prediction , 2011, 2011 International Reliability Physics Symposium.

[20]  Andrew R. Brown,et al.  Simulation of statistical variability in nano-CMOS transistors using drift-diffusion, Monte Carlo and non-equilibrium Green’s function techniques , 2009 .

[21]  Makoto Motoyoshi,et al.  Through-Silicon Via (TSV) , 2009, Proceedings of the IEEE.

[22]  King-Ning Tu,et al.  Effect of current crowding on void propagation at the interface between intermetallic compound and solder in flip chip solder joints , 2006 .

[23]  Jay Lee,et al.  Feature extraction and damage-precursors for prognostication of lead-free electronics , 2006, 56th Electronic Components and Technology Conference 2006.

[24]  N. Raghavan,et al.  New insight into the TDDB and breakdown reliability of novel high-к gate dielectric stacks , 2010, 2010 IEEE International Reliability Physics Symposium.

[25]  Michel Bosman,et al.  Direct visualization and in-depth physical study of metal filament formation in percolated high-κ dielectrics , 2010 .

[26]  Zhong Chen,et al.  The influence of temperature and dielectric materials on stress induced voiding in Cu dual damascene interconnects , 2006 .

[27]  K. Tu Recent advances on electromigration in very-large-scale-integration of interconnects , 2003 .

[28]  Anant Agarwal,et al.  Foundations of Analog and Digital Electronic Circuits , 2005 .

[29]  M. Pecht,et al.  Identification of failure precursor parameters for Insulated Gate Bipolar Transistors (IGBTs) , 2008, 2008 International Conference on Prognostics and Health Management.

[30]  Bing Fu,et al.  Advances in Resistive Switching Memories Based on Graphene Oxide , 2013 .

[31]  M. Alam,et al.  A Comparative Study of Different Physics-Based NBTI Models , 2013, IEEE Transactions on Electron Devices.

[32]  Durgamadhab Misra,et al.  Correlation of Negative Bias Temperature Instability and Breakdown in HfO2/TiN Gate Stacks , 2010 .

[33]  Muhammad Ashraful Alam,et al.  A comprehensive model of PMOS NBTI degradation , 2005, Microelectron. Reliab..

[34]  T. Grasser,et al.  Gate current random telegraph noise and single defect conduction , 2013 .

[35]  Dimitris P. Ioannou,et al.  Positive Bias Temperature Instability Effects in nMOSFETs With HfO 2 /TiN Gate Stacks , 2009 .

[36]  Guido Groeseneken,et al.  Hot carrier degradation and time-dependent dielectric breakdown in oxides , 1999 .

[37]  G. Groeseneken,et al.  From mean values to distributions of BTI lifetime of deeply scaled FETs through atomistic understanding of the degradation , 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.

[38]  R. Degraeve,et al.  A new model for the field dependence of intrinsic and extrinsic time-dependent dielectric breakdown , 1998 .

[39]  H. Nayfeh,et al.  Strained silicon MOSFET technology , 2002, Digest. International Electron Devices Meeting,.

[40]  Jörg Appenzeller,et al.  Carbon Nanotubes for High-Performance Electronics—Progress and Prospect , 2008, Proceedings of the IEEE.

[41]  S. Mittl,et al.  Positive Bias Temperature Instability Effects in nMOSFETs With $\hbox{HfO}_{2}/\hbox{TiN}$ Gate Stacks , 2009, IEEE Transactions on Device and Materials Reliability.

[42]  D. Frear,et al.  Pb-free solders for flip-chip interconnects , 2001 .

[43]  Kin Leong Pey,et al.  The physical origin of random telegraph noise after dielectric breakdown , 2009 .

[44]  G. Groeseneken,et al.  TDDB Reliability Prediction Based on the Statistical Analysis of Hard Breakdown Including Multiple Soft Breakdown and Wear-out , 2007, 2007 IEEE International Electron Devices Meeting.

[45]  M. Passlack,et al.  Enhancement-Mode GaAs n-Channel MOSFET , 2006, IEEE Electron Device Letters.

[46]  Xing Wu,et al.  Resilience of ultra-thin oxynitride films to percolative wear-out and reliability implications for high-κ stacks at low voltage stress , 2013 .

[47]  J. Stathis Percolation models for gate oxide breakdown , 1999 .

[48]  N. Raghavan,et al.  Noise-based prognostic design for real-time degradation analysis of nanodevice dielectric breakdown , 2013, 2013 Proceedings Annual Reliability and Maintainability Symposium (RAMS).

[49]  J. Colinge Silicon-on-Insulator Technology: Materials to VLSI , 1991 .

[50]  D. Vigar,et al.  Stress migration reliability of wide Cu interconnects with gouging vias , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..

[51]  J. R. Powell The Quantum Limit to Moore's Law , 2008 .

[52]  Dieter K. Schroder,et al.  Negative bias temperature instability: What do we understand? , 2007, Microelectron. Reliab..

[53]  Chee Lip Gan,et al.  Dielectric degradation mechanism for copper interconnects capped with CoWP , 2008 .

[54]  Kouichi Maruyama,et al.  Microstructural influences on stress migration in electroplated Cu metallization , 2003 .

[55]  Y. Fujisaki Review of Emerging New Solid-State Non-Volatile Memories , 2013 .

[56]  J. Zhai,et al.  HfOx bipolar resistive memory with robust endurance using ZrNx as buttom electrode , 2013 .

[57]  M.G. Pecht,et al.  Prognostics and health management of electronics , 2008, IEEE Transactions on Components and Packaging Technologies.

[58]  P.E. Nicollian Errors in Projecting Gate Dielectric Reliability From Fowler–Nordheim Stress to Direct-Tunneling Operation , 2009, IEEE Electron Device Letters.

[59]  Chee Lip Gan,et al.  The effect of stress migration on electromigration in dual damascene copper interconnects , 2011 .

[60]  Wei Liang,et al.  Remaining useful life prediction of lithium-ion battery with unscented particle filter technique , 2013, Microelectron. Reliab..

[61]  The Effect of Al and Ni Top Electrodes in Resistive Switching Behaviors of Yb2O3-Based Memory Cells , 2012 .

[62]  X. Li,et al.  The chemistry of gate dielectric breakdown , 2008, 2008 IEEE International Electron Devices Meeting.

[63]  J. Sune,et al.  On Voltage Acceleration Models of Time to Breakdown—Part II: Experimental Results and Voltage Dependence of Weibull Slope in the FN Regime , 2009, IEEE Transactions on Electron Devices.

[64]  S. M. Sze,et al.  Physics of semiconductor devices , 1969 .

[65]  Kin Leong Pey,et al.  The nature of dielectric breakdown , 2008 .

[66]  Yu Cao,et al.  The impact of correlation between NBTI and TDDB on the performance of digital circuits , 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).

[67]  Souvik Mahapatra,et al.  Negative bias temperature instability in CMOS devices , 2005 .

[68]  Cher Ming Tan,et al.  Electromigration in ULSI Interconnections , 2010, International Series on Advances in Solid State Electronics and Technology.

[69]  L. Larcher,et al.  Analysis of Correlated Gate and Drain Random Telegraph Noise in Post-Soft Breakdown TiN/HfLaO/${\rm SiO}_{x}$ nMOSFETs , 2014, IEEE Electron Device Letters.

[70]  T. Sullivan,et al.  A Comprehensive Study of Low-k SiCOH TDDB Phenomena and Its Reliability Lifetime Model Development , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[71]  Nagarajan Raghavan,et al.  Resistive switching in NiSi gate metal-oxide-semiconductor transistors , 2010 .