Image-based EUVL aberration metrology

Kate Gleason College of Engineering Rochester Institute of Technology Degree: Doctor of Philosophy Program: Microsystems Engineering Authors Name: Germain L. Fenger Advisors Name: Dr. Bruce W. Smith Dissertation Title: Image-Based EUVL Aberration Metrology A significant factor in the degradation of nanolithographic image fidelity is optical wavefront aberration. As resolution of nanolithography systems increases, effects of wavefront aberrations on aerial image become more influential. The tolerance of such aberrations is governed by the requirements of features that are being imaged, often requiring lenses that can be corrected with a high degree of accuracy and precision. Resolution of lithographic systems is driven by scaling wavelength down and numerical aperture (NA) up. However, aberrations are also affected from the changes in wavelength and NA. Reduction in wavelength or increase in NA result in greater impact of aberrations, where the latter shows a quadratic dependence. Current demands in semiconductor manufacturing are constantly pushing lithographic systems to operate at the diffraction limit; hence, prompting a need to reduce all degrading effects on image properties to achieve maximum performance. Therefore, the need for highly accurate insitu aberration measurement and correction is paramount. In this work, an approach has been developed in which several targets including phase wheel, phase disk, phase edges, and binary structures are used to generate optical images to detect and monitor aberrations in extreme ultraviolet (EUV) lithographic systems. The benefit of using printed patterns as opposed to other techniques is that the lithography system is tested under standard operating conditions. Mathematical models in conjunction with iterative lithographic simulations are used to determine pupil phase wavefront errors and describe them as combinations of Zernike polynomials. ACKNOWLEDGMENTS I would like first thank my advisor, Dr. Bruce W. Smith, specifically for guiding me when needed, but giving me the confidence and room to think independently and critically. I would also like to thank my committee members Dr. Karl D. Hirschman, Dr. Zoran Ninkov, and Dr. Obert R. Wood II for guiding me through the PhD process. I would like to especially acknowledge and thank Obert, without his support much of this work would not have been possible. I would like to acknowledge and thanks KLA Tencor for the use of PROLITH TM , GLOBALFOUNDRIES for the fabrication of a phase-shifting reticle and processing support, the IBM alliance and partners for use of the cleanroom facilities including scanner and SEM tool time, Dr. Lena Zavyalova for her many discussions, Luke Orsini, Dr. Lei Sun, and Dr. Sudhar Raghunathan for help with exposures. I would also like to thank and acknowledge my fellow graduate students for their encouragement and support, Burak Baylav, Dr. Monica Sears, Andrew Estroff, Chris Maloney, Dr. Neal Lafferty and Dr. Peng Xie. I would also like to the U.S. Department of Education Graduate Assistance in Areas of National Need (GAAN) program and the Semiconductor Research Corporation (SRC/GRC) for their support. Table of

[1]  Neal Lafferty,et al.  Water immersion optical lithography at 193 nm , 2004 .

[2]  Christopher A. Spence,et al.  CD uniformity consideration for DUV step and scan tools , 1999, Advanced Lithography.

[3]  Pei-Yang Yan,et al.  TaN EUVL mask fabrication and characterization , 2001, SPIE Advanced Lithography.

[4]  Jie Li,et al.  Characterization of a 0.25NA full-field EUV exposure tool , 2009, Advanced Lithography.

[5]  Eric Hendrickx,et al.  Evidence of printing blank-related defects on EUV masks missed by blank inspection , 2011, European Mask and Lithography Conference.

[6]  Virendra N. Mahajan,et al.  Zernike annular polynomials for imaging systems with annular pupils , 1984 .

[7]  Hiroyuki Shindo,et al.  High-accuracy optical proximity correction modeling using advanced critical dimension scanning electron microscope-based contours in next-generation lithography , 2011 .

[8]  Will Conley,et al.  Correction of 157-nm lens based on phase ring aberration extraction method , 2004, SPIE Advanced Lithography.

[9]  Virendra N. Mahajan,et al.  Zernike annular polynomials and optical aberrations of systems with annular pupils. , 1994, Applied optics.

[10]  H. Nomura,et al.  Techniques for measuring aberrations in lenses used in photolithography with printed patterns. , 1999, Applied optics.

[11]  J. J. Ewing,et al.  Laser action on the 2Σ+1/2→2Σ+1/2 bands of KrF and XeCl , 1975 .

[12]  H. H. Hopkins The frequency response of a defocused optical system , 1955, Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences.

[13]  C. Holfeld,et al.  EUVL reticle defectivity evaluation , 2009, Advanced Lithography.

[14]  J. Burch,et al.  Scatter Fringes of Equal Thickness , 1953, Nature.

[15]  Daniel Malacara,et al.  Phase shifting interferometry * , 2008 .

[16]  Hiroyuki Shindo,et al.  High-accuracy OPC-modeling by using advanced CD-SEM based contours in the next-generation lithography , 2010, Advanced Lithography.

[17]  S. Tagawa,et al.  Radiation Chemistry in Chemically Amplified Resists , 2010 .

[18]  Mingying Ma,et al.  Measurement technique for in situ characterizing aberrations of projection optics in lithographic tools. , 2006, Applied optics.

[19]  Kenneth A. Goldberg,et al.  Characterization of the accuracy of EUV phase-shifting point diffraction interferometry , 1998, Advanced Lithography.

[20]  Bruce W. Smith,et al.  In-situ aberration monitoring using phase wheel targets , 2004, SPIE Advanced Lithography.

[21]  von F. Zernike Beugungstheorie des schneidenver-fahrens und seiner verbesserten form, der phasenkontrastmethode , 1934 .

[22]  Lord Rayleigh,et al.  On the Theory of Optical Images, with Special Reference to the Microscope , 1903 .

[23]  Lena Zavyalova Measuring aberrations in lithographic projection systems with phase wheel targets , 2010 .

[24]  H. Hopkins On the diffraction theory of optical images , 1953, Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences.

[25]  Lena V. Zavyalova,et al.  Tool ranking using aberration measurements in a high-volume manufacturing facility , 2003, SPIE Advanced Lithography.

[26]  Peter De Bisschop,et al.  Impact of high-order aberrations on the performance of the aberration monitor , 2000, Advanced Lithography.

[27]  Richard H. Stulen,et al.  Application of laser plasma sources in soft x-ray projection lithography , 1994, Optics & Photonics.

[28]  S. H. Lee,et al.  Extreme-ultraviolet phase-shifting point-diffraction interferometer: a wave-front metrology tool with subangstrom reference-wave accuracy. , 1999, Applied optics.

[29]  Jan Mulkens,et al.  Latest developments on immersion exposure systems , 2008, SPIE Advanced Lithography.

[30]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[31]  Bruce W. Smith Variations to the influence of lens aberration invoked with PSM and OAI , 1999, Advanced Lithography.

[32]  Rob Willekers,et al.  Application of the aberration ring test (ARTEMIS) to determine lens quality and predict its lithographic performance , 2001, SPIE Advanced Lithography.

[33]  Henry I. Smith,et al.  Use of a pi‐phase shifting x‐ray mask to increase the intensity slope at feature edges , 1988 .

[35]  G. Fenger,et al.  Design correction in extreme ultraviolet lithography , 2010 .

[36]  Bruce W. Smith Optics for Photolithography , 2007 .

[37]  Kenneth A. Goldberg,et al.  Extreme ultraviolet carrier-frequency shearing interferometry of a lithographic four-mirror optical system , 2000 .

[38]  Bruce W. Smith,et al.  Understanding lens aberration and influences to lithographic imaging , 2000, Advanced Lithography.

[39]  Hiroyuki Shindo,et al.  High-precision contouring from SEM image in 32-nm lithography and beyond , 2009, Advanced Lithography.

[40]  Nigel R. Farrar,et al.  In-situ measurement of lens aberrations , 2000, Advanced Lithography.

[41]  Gong Chen,et al.  Phase-shift reticle design impact on patterned linewidth variation and LWR , 2006, SPIE Photomask Technology.

[42]  Kenneth A. Goldberg,et al.  Testing extreme ultraviolet optics with visible-light and extreme ultraviolet interferometry , 2002 .

[43]  A. Neureuther,et al.  Mask topography effects in projection printing of phase-shifting masks , 1994 .

[44]  S. Vaidya,et al.  Mask assisted off‐axis illumination technique for random logic , 1993 .

[45]  J. Bernoulli,et al.  Jacobi Bernoulli ... Ars conjectandi : opus posthumum : accedit Tractatus de seriebus infinitis, et Epistola Gallicè scripta de ludo pilae reticularis , 1968 .

[46]  John S. Loomis Analysis Of Interferograms From Waxicons , 1979, Photonics West - Lasers and Applications in Science and Engineering.

[47]  Joseph P. Kirk,et al.  Application of blazed gratings for determination of equivalent primary azimuthal aberrations , 1999, Advanced Lithography.

[48]  Bruno La Fontaine,et al.  Flare evaluation of ASML alpha demo tool , 2009, Advanced Lithography.

[49]  J. Bokor,et al.  Phase-shifting point diffraction interferometer. , 1996, Optics letters.

[50]  Chang-Moon Lim,et al.  Evaluation of shadowing and flare effect for EUV tool , 2009, Advanced Lithography.

[51]  B. Lin Deep uv lithography , 1975 .

[52]  Bruce W. Smith,et al.  Influences of off-axis illumination on optical lens aberration , 1998 .

[53]  Joseph P. Kirk Review of photoresist-based lens evaluation methods , 2000, Advanced Lithography.

[54]  C. Willson,et al.  Ultrafast deep UV Lithography with excimer lasers , 1982, IEEE Electron Device Letters.

[55]  Youichi Usui,et al.  Process development of 6-in EUV mask with TaBN absorber , 2002, Photomask Japan.

[56]  William N. Partlo,et al.  Direct aerial image measurement as a method of testing high numerical aperture microlithographic lenses , 1993 .

[57]  Michael C. Lam,et al.  Flare in extreme ultraviolet lithography: metrology, out-of-band radiation, fractal point-spread function, and flare map calibration , 2009 .

[58]  Y. David Chan EUV mask readiness and challenges for the 22nm half pitch and beyond , 2011, European Mask and Lithography Conference.

[59]  M. Rokni,et al.  10 – Rare-Gas Halide Lasers , 1982 .

[60]  Iwao Nishiyama,et al.  Quantifying EUV imaging tolerances for the 70-, 50-, 35-nm modes through rigorous aerial image simulations , 2001, SPIE Advanced Lithography.

[61]  Han-Ku Cho,et al.  EUV Mask and Mask Metrology , 2008 .

[62]  Emil Wolf The diffraction theory of aberrations , 1951 .

[63]  J. Hartley,et al.  Initial experience establishing an EUV baseline lithography process for manufacturability assessment , 2007, SPIE Advanced Lithography.

[64]  Bruce W. Smith,et al.  Practical approach to full-field wavefront aberration measurement using phase wheel targets , 2006, SPIE Advanced Lithography.

[65]  A. Bhatia,et al.  On the circle polynomials of Zernike and related orthogonal sets , 1954, Mathematical Proceedings of the Cambridge Philosophical Society.

[66]  Neal Lafferty,et al.  On the quality of measured optical aberration coefficients using phase wheel monitor , 2007, SPIE Advanced Lithography.

[67]  Siméon-Denis Poisson Recherches sur la probabilité des jugements en matière criminelle et en matiére civile, précédées des règles générales du calcul des probabilités , 1837 .

[68]  K. Koshelev,et al.  Calculation of tin emission spectra in discharge plasma: The influence of reabsorption in spectral lines , 2007 .

[69]  Anne-Marie Goethals,et al.  Performance of the ASML EUV Alpha Demo Tool , 2010, Advanced Lithography.

[70]  K. Ronse,et al.  Assessment of EUV reticle blank availability enabling the use of EUV tools today and in the future , 2007, European Mask and Lithography Conference.

[71]  Richard R. Freeman,et al.  Comparison of reflective mask technologies for soft x-ray projection lithography , 1992, Other Conferences.

[72]  Stefan Wurm,et al.  EUV Lithography , 2014, Proceedings of Technical Program - 2014 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).

[73]  S. Brose,et al.  Line image sensors for spectroscopic applications in the extreme ultraviolet , 2009 .

[74]  Saša Bajt,et al.  EUV testing of multilayer mirrors: critical issues , 2006, SPIE Advanced Lithography.

[75]  Michael Arnz,et al.  Toward a comprehensive control of full-field image quality in optical photolithography , 1997, Advanced Lithography.

[76]  Michael S. Yeung Measurement of wave-front aberrations in high-resolution optical lithographic systems from printed photoresist patterns , 2000 .

[77]  Jan Baselmans,et al.  Full optical column characterization of DUV lithographic projection tools , 2004, SPIE Advanced Lithography.

[78]  Obert R. Wood,et al.  Architectural choices for EUV lithography masks: patterned absorbers and patterned reflectors , 2004, SPIE Advanced Lithography.

[79]  Christophe Pierrat,et al.  Analyzing deep-UV lens aberrations using aerial image and latent image metrologies , 1994, Advanced Lithography.

[80]  Kafai Lai,et al.  Optimum mask and source patterns to print a given shape , 2001, SPIE Advanced Lithography.

[81]  Takashi Yamaguchi,et al.  Development of EUV lithography tool technologies at Nikon , 2012, Advanced Lithography.

[82]  Bruce W. Smith,et al.  Aberrations of steppers using phase-shifting point diffraction interferometry , 2000, Advanced Lithography.

[83]  Theoretical Study on Difference between Image Quality Formed in Low-and High-Activation-Energy Chemically Amplified Resists , 2008 .

[84]  M. Levenson,et al.  Improving resolution in photolithography with a phase-shifting mask , 1982, IEEE Transactions on Electron Devices.

[85]  Richard Wistrom,et al.  Dry etching performance of advanced EUV mask blanks , 2011, Photomask Technology.

[86]  Koen van Ingen Schenau,et al.  System qualification and optimization for imaging performance on the 0.80-NA 248-nm step-and-scan systems , 2002, SPIE Advanced Lithography.

[87]  Uwe Stamm,et al.  History and future prospects of excimer lasers , 2002, International Symposium on Laser Precision Microfabrication.

[88]  Martha I. Sanchez,et al.  Extendibility of chemically amplified resists: another brick wall? , 2003, SPIE Advanced Lithography.

[89]  Bruce W. Smith,et al.  Benefiting from polarization effects on high-NA imaging , 2004, SPIE Advanced Lithography.

[90]  Christian Chovino,et al.  Demonstration of phase-shift masks for extreme-ultraviolet lithography , 2006, SPIE Advanced Lithography.

[91]  D. Malacara-Doblado,et al.  Hartmann, Hartmann–Shack, and Other Screen Tests , 2006 .

[92]  I. Fomenkov,et al.  Development of stable extreme-ultraviolet sources for use in lithography exposure systems , 2012 .

[93]  Obert Wood,et al.  Mask 3D effects and compensation for high NA EUV lithography , 2013, Advanced Lithography.

[94]  Regina Soufli,et al.  Sub-diffraction-limited multilayer coatings for the 0.3 numerical aperture micro-exposure tool for extreme ultraviolet lithography. , 2007, Applied optics.

[95]  T. Abe,et al.  Laser-produced plasma-based extreme-ultraviolet light source technology for high-volume manufacturing extreme-ultraviolet lithography , 2012 .

[96]  Kazuya Kamon,et al.  Photolithography System Using a Combination of Modified Illumination and Phase Shift Mask , 1992 .

[97]  J. Maxwell VIII. A dynamical theory of the electromagnetic field , 1865, Philosophical Transactions of the Royal Society of London.

[98]  G. Moore Cramming more components onto integrated circuits, Reprinted from Electronics, volume 38, number 8, April 19, 1965, pp.114 ff. , 2006, IEEE Solid-State Circuits Newsletter.

[99]  Lei Sun,et al.  Insertion strategy for EUV lithography , 2012, Advanced Lithography.

[100]  Bruce W. Smith,et al.  Automated aberration extraction using phase wheel targets , 2005, SPIE Advanced Lithography.

[101]  Kenneth A. Goldberg EUV Optical Testing , 2008 .

[102]  Christopher P. Ausschnitt,et al.  Quantitative stepper metrology using the focus monitor test mask , 1994, Advanced Lithography.

[103]  Bryan J. Rice,et al.  High index 193 nm immersion lithography: the beginning or the end of the road , 2009, Advanced Lithography.

[104]  Judon Stoeldraijer,et al.  From performance validation to volume introduction of ASML's NXE platform , 2012, Advanced Lithography.

[105]  T. Gaylord,et al.  Rigorous coupled-wave analysis of planar-grating diffraction , 1981 .

[106]  R. Watso,et al.  Status and critical challenges for 157-nm lithography , 2004 .

[107]  Manish Chandhok,et al.  Flare and lens aberration requirements for EUV lithographic tools , 2005, SPIE Advanced Lithography.

[108]  Hiroshi Ito Rise of chemical amplification resists from laboratory curiosity to paradigm enabling Moore's law , 2008, SPIE Advanced Lithography.

[109]  H. H. Hopkins,et al.  The concept of partial coherence in optics , 1951, Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences.

[110]  Sudhar Raghunathan,et al.  Application of phase shift focus monitor in EUVL process control , 2013, Advanced Lithography.

[111]  Burn Jeng Lin,et al.  THE ATTENUATED PHASE-SHIFTING MASK , 1992 .

[112]  Jo Finders,et al.  Printing 130-nm DRAM isolation pattern: Zernike correlation and tool improvement , 2001, SPIE Advanced Lithography.

[113]  Russell M. Hudyma,et al.  Optics and Multilayer Coatings for EUVL Systems , 2008 .