Automated Synthesis of Custom Networks-on-Chip for Real World Applications

Network-on-Chip (NoC), using a packetized communication model presents a scalable interconnect infrastructure for System-on-Chip (SoC) architectures that meets its Performance, Power and Area (PPA) objectives. A typical NoC consists of building blocks such as routers, resizers and Power and Clock Domain Converters (PCDC). Hand crafting a NoC that meets PPA requirements within Time-to-Market (TTM) constraints is difficult if not intractable for real world systems. In this paper, we present an automated NoC synthesis tool that generates PPA optimized, customized NoC for any system from its behavioral specification. The tool provides solution based on multiple isolated communicating trees with fixed points of inter-communication amongst them. It models a variety of requirements like deadlock-avoidance, quality of service etc. as conflicts represented in a Traffic Conflict Graph (TCG) and uses combinatorial optimization techniques to minimize the conflicts resulting in a better overall design. This is in contrast with traditional approaches which focus only on reducing communication overheads. Implementation and evaluation of the tool in production-grade designs shows that it achieves better topologies as compared to hand-crafted NoCs in only a fraction of time. Across several multi-million gate SoCs, the tool has reduced latency, buffer-size and area by 40%, 50% and 8% on average respectively w.r.t hand-crafted NoCs, while meeting user-specified performance requirements. Use of this tool has brought down the typical NoC design time from several months to less than two weeks thereby considerably reducing design effort and TTM targets.

[1]  Radu Marculescu,et al.  Virtual Channels Planning for Networks-on-Chip , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[2]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[3]  Yuankun Xue,et al.  Scalable and realistic benchmark synthesis for efficient NoC performance evaluation: A complex network analysis approach , 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[4]  Glenn Leary,et al.  Automated technique for design of NoC with minimal communication latency , 2009, CODES+ISSS '09.

[5]  Fangfa Fu,et al.  A Virtual Channel Allocation Algorithm for NoC , 2017, MLICOM.

[6]  Shmuel Zaks,et al.  A Complete Characterization of the Path Layout Construction Problem for ATM Networks with Given Hop Count and Load , 1998, Parallel Process. Lett..

[7]  Ahmed Ben Achballah,et al.  A Survey of Network-On-Chip Tools , 2013, ArXiv.

[8]  Miguel Gorgues Alonso,et al.  PROSA: Protocol-Driven Network on Chip Architecture , 2018, IEEE Transactions on Parallel and Distributed Systems.

[9]  Brad Calder,et al.  Discovering and Exploiting Program Phases , 2003, IEEE Micro.

[10]  Dirk P. Kroese,et al.  The Cross Entropy Method: A Unified Approach To Combinatorial Optimization, Monte-carlo Simulation (Information Science and Statistics) , 2004 .

[11]  Hyoukjun Kwon,et al.  Rethinking NoCs for spatial neural network accelerators , 2017, 2017 Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

[12]  Luca Benini,et al.  NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.

[13]  Wei Zhong,et al.  Application-specific Network-on-Chip synthesis: Cluster generation and network component insertion , 2011, 2011 12th International Symposium on Quality Electronic Design.

[14]  Thomas Stützle,et al.  Stochastic Local Search: Foundations & Applications , 2004 .

[15]  George Michelogiannakis,et al.  An analysis of on-chip interconnection networks for large-scale chip multiprocessors , 2010, TACO.

[16]  Gul N. Khan,et al.  Synthesis of NoC Interconnects for Custom MPSoC Architectures , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[17]  L. Benini,et al.  Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[18]  Luca P. Carloni,et al.  Virtual channels vs. multiple physical networks: A comparative analysis , 2010, Design Automation Conference.

[19]  Alberto L. Sangiovanni-Vincentelli,et al.  Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.

[20]  David S. Johnson,et al.  The Rectilinear Steiner Tree Problem is NP Complete , 1977, SIAM Journal of Applied Mathematics.

[21]  Tobias Bjerregaard,et al.  A survey of research and practices of Network-on-chip , 2006, CSUR.

[22]  Andrew B. Kahng,et al.  Trace-driven optimization of networks-on-chip configurations , 2010, Design Automation Conference.

[23]  M. Hanan,et al.  On Steiner’s Problem with Rectilinear Distance , 1966 .

[24]  Lei Gao,et al.  A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[25]  Jens Sparsø,et al.  The ReNoC Reconfigurable Network-on-Chip: Architecture, Configuration Algorithms, and Evaluation , 2011, TECS.

[26]  L. Benini,et al.  Designing Application-Specific Networks on Chips with Floorplan Information , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.