Atomic force microscopy study of photoresist sidewall smoothing and line edge roughness transfer during gate patterning

Abstract. With the constant decrease of semiconductor device dimensions, gate line edge roughness (LER) becomes an important source of device variability. Gate LER originates from photoresist (PR) LER that is partially transferred into the gate during plasma etching. A plasma treatment is typically used to reduce the PR LER before the transfer. LER control at the nanometer scale also requires accurate measurements. We have recently developed a technique for LER measurement based upon atomic force microscopy (AFM). In this technique, the sample is tilted at about 45 deg and feature sidewalls are scanned along their length with the AFM tip to obtain three-dimensional images. The AFM technique is applied to the study of a pattern transfer into a gate stack starting from untreated PR, PR treated by conventional HBr plasma, and PR treated by HBr/O2 plasma followed by a bake at 150°C. It is found that the plasma etching reduces the LER at each etching step. The reduction is more important when starting from untreated PR which has the highest initial LER. However, the final LER in the Si layer remains significantly smaller when starting with cured PR, especially with PR cured by an HBr/O2 plasma treatment followed by a bake at 150°C.

[1]  Evangelos Gogolides,et al.  Is the resist sidewall after development isotropic or anisotropic? effects of resist sidewall morphology on LER reduction and transfer during etching , 2010, Advanced Lithography.

[2]  Ndubuisi G. Orji,et al.  Line edge roughness metrology using atomic force microscopes , 2005 .

[3]  Gregg M. Gallatin,et al.  Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processes , 2004 .

[4]  David B. Graves,et al.  Relationship between nanoscale roughness and ion-damaged layer in argon plasma exposed polystyrene films , 2010 .

[5]  A. Bazin,et al.  Mechanisms involved in HBr and Ar cure plasma treatments applied to 193 nm photoresists , 2009 .

[6]  Susan C. Palmateer,et al.  Metrology methods for the quantification of edge roughness , 1998, Advanced Lithography.

[7]  T. Linton,et al.  Determination of the line edge roughness specification for 34 nm devices , 2002, Digest. International Electron Devices Meeting,.

[8]  Olivier Joubert,et al.  Unbiased line width roughness measurements with critical dimension scanning electron microscopy and critical dimension atomic force microscopy , 2012 .

[9]  James W. Taylor,et al.  Correlation of atomic force microscopy sidewall roughness measurements with scanning electron microscopy line-edge roughness measurements on chemically amplified resists exposed by x-ray lithography , 1999 .

[10]  Hiroichi Kawahira,et al.  Changes of chemical nature of photoresists induced by various plasma treatments and their impact on LWR , 2006, SPIE Advanced Lithography.

[11]  Erwine Pargon,et al.  193nm resist chemical modification induced by HBr cure plasma treatment: a TD-GC/MS outgassing study , 2011, Advanced Lithography.

[12]  David B. Graves,et al.  Effects of vacuum ultraviolet photons, ion energy and substrate temperature on line width roughness and RMS surface roughness of patterned 193 nm photoresist , 2011 .

[13]  E. Gogolides,et al.  Effects of resist sidewall morphology on line-edge roughness reduction and transfer during etching: is the resist sidewall after development isotropic or anisotropic? , 2010 .

[14]  Olivier Joubert,et al.  Revisiting the mechanisms involved in Line Width Roughness smoothing of 193 nm photoresist patterns during HBr plasma treatment , 2013 .

[15]  Marc Fouchier,et al.  An atomic force microscopy-based method for line edge roughness measurement , 2013 .

[16]  David B. Graves,et al.  Understanding the Roughening and Degradation of 193 nm Photoresist during Plasma Processing: Synergistic Roles of Vacuum Ultraviolet Radiation and Ion Bombardment , 2009 .

[17]  J. Gómez‐Herrero,et al.  WSXM: a software for scanning probe microscopy and a tool for nanotechnology. , 2007, The Review of scientific instruments.

[18]  J. Castle,et al.  Characterization of surface topography by SEM and SFM: problems and solutions , 1997 .

[19]  S. Badrinarayanan,et al.  Photochemical bromination of polyolefin surfaces , 2001 .

[20]  H. Sawin,et al.  Review of profile and roughening simulation in microelectronics plasma etching , 2009 .

[21]  Robert L. Bruce,et al.  On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists , 2011 .

[22]  Olivier Joubert,et al.  HBr Plasma Treatment Versus VUV Light Treatment to Improve 193 nm Photoresist Pattern Linewidth Roughness , 2011 .

[23]  D Reid,et al.  Understanding LER-Induced MOSFET $V_{T}$ Variability—Part I: Three-Dimensional Simulation of Large Statistical Samples , 2010, IEEE Transactions on Electron Devices.

[24]  R. Bruce,et al.  Ion and Vacuum Ultraviolet Photon Beam Effects in 193 nm Photoresist Surface Roughening: The Role of the Adamantyl Pendant Group , 2011 .

[25]  Andrew G. Glen,et al.  APPL , 2001 .

[27]  M. Hussain,et al.  Atomic force microscope study of three-dimensional nanostructure sidewalls , 2007 .

[28]  Olivier Joubert,et al.  Benefits of plasma treatments on critical dimension control and line width roughness transfer during gate patterning , 2013 .

[29]  Arpan P. Mahorowala,et al.  Line edge roughness reduction by plasma curing photoresists , 2005, SPIE Advanced Lithography.

[30]  Olivier Joubert,et al.  Linewidth roughness transfer measured by critical dimension atomic force microscopy during plasma patterning of polysilicon gate transistors , 2008 .

[31]  Yueming Hua,et al.  Three-dimensional imaging of undercut and sidewall structures by atomic force microscopy. , 2011, The Review of scientific instruments.