Energy-Efficient Transceiver for Wireless NoC
暂无分享,去创建一个
[1] Sriram R. Vangal,et al. A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.
[2] Amlan Ganguly,et al. Design Methodology for a Robust and Energy-Efficient Millimeter-Wave Wireless Network-on-Chip , 2015, IEEE Transactions on Multi-Scale Computing Systems.
[3] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for wireless network-on-chip architectures , 2012, JETC.
[4] David W. Matolak,et al. iWISE: Inter-router Wireless Scalable Express Channels for Network-on-Chips (NoCs) Architecture , 2011, 2011 IEEE 19th Annual Symposium on High Performance Interconnects.
[5] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[6] Partha Pratim Pande,et al. Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects , 2013, IEEE Transactions on Computers.
[7] Sujay Deb,et al. Adaptive multi-voltage scaling in wireless NoC for high performance low power applications , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[8] Luca P. Carloni,et al. Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.
[9] Malgorzata Marek-Sadowska,et al. Benefits and costs of power-gating technique , 2005, 2005 International Conference on Computer Design.
[10] Reetuparna Das,et al. Power-aware NoCs through routing and topology reconfiguration , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[11] Massoud Pedram,et al. Power punch: Towards non-blocking power-gating of NoC routers , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[12] Sujay Deb,et al. An Efficient Hardware Implementation of DVFS in Multi-core System with Wireless Network-on-Chip , 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.
[13] Partha Pratim Pande,et al. Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[14] John Kim,et al. FlexiBuffer: Reducing leakage power in on-chip network routers , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[15] Sujay Deb,et al. Power efficient router architecture for wireless Network-on-Chip , 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).
[16] Sujay Deb,et al. An energy efficient wireless Network-on-Chip using power-gated transceivers , 2014, 2014 27th IEEE International System-on-Chip Conference (SOCC).
[17] Shahriar Mirabbasi,et al. Architecture and Design of Multichannel Millimeter-Wave Wireless NoC , 2014, IEEE Design & Test.
[18] G FriedmanEby,et al. 3-D topologies for networks-on-chip , 2007 .
[19] Sujay Deb,et al. Millimeter-wave planar log periodic antenna for on-chip wireless interconnects , 2014, The 8th European Conference on Antennas and Propagation (EuCAP 2014).
[20] Jason Cong,et al. CMP network-on-chip overlaid with multi-band RF-interconnect , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.