Tilted ion implantation of spin-coated SiARC films for sub-lithographic and two-dimensional patterning
暂无分享,去创建一个
Tsu-Jae King Liu | Daniel Connelly | Thomas Rembert | Shalini Sharma | Leonard M. Rubin | T. Liu | D. Connelly | L. Rubin | Shalini Sharma | T. Rembert
[1] C. Hu,et al. A spacer patterning technology for nanoscale CMOS , 2002 .
[3] Akiteru Ko,et al. LER improvement for sub-32nm pitch self-aligned quadruple patterning (SAQP) at back end of line (BEOL) , 2016, SPIE Advanced Lithography.
[5] Mark van de Kerkhof,et al. Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner , 2017, Advanced Lithography.
[6] Geert Vandenberghe,et al. Implementation of templated DSA for via layer patterning at the 7nm node , 2015, Advanced Lithography.
[7] Yasin Ekinci,et al. Toward 10nm half-pitch in EUV lithography: results on resist screening and pattern collapse mitigation techniques , 2015, Advanced Lithography.
[8] Lars W. Liebmann,et al. Demonstrating production quality multiple exposure patterning aware routing for the 10NM node , 2014, Advanced Lithography.
[9] C. Auth,et al. A 10nm high performance and low-power CMOS technology featuring 3rd generation FinFET transistors, Self-Aligned Quad Patterning, contact over active gate and cobalt local interconnects , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).
[10] Nelson Felix,et al. Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond , 2018, Nature Electronics.
[11] Burn Jeng Lin,et al. Making lithography work for the 7-nm node and beyond in overlay accuracy, resolution, defect, and cost , 2015 .
[12] Jo Finders,et al. Double patterning lithography for 32 nm: critical dimensions uniformity and overlay control considerations , 2009 .
[13] Hideki Takeuchi,et al. Selective Enhancement of SiO2 Etch Rate by Ar-Ion Implantation for Improved Etch Depth Control , 2007 .
[14] Bryan J. Rice,et al. An analysis of double exposure lithography options , 2008, SPIE Advanced Lithography.
[15] Diederik Verkest,et al. Maintaining Moore’s law: enabling cost-friendly dimensional scaling , 2015, Advanced Lithography.
[16] Chi-Chun Liu,et al. Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication. , 2014, ACS nano.
[17] Tsu-Jae King Liu,et al. Enhanced patterning by tilted ion implantation , 2016, SPIE Advanced Lithography.
[18] J. Ziegler,et al. SRIM – The stopping and range of ions in matter (2010) , 2010 .
[19] Yasin Ekinci,et al. Toward 10 nm half-pitch in extreme ultraviolet lithography: results on resist screening and pattern collapse mitigation techniques , 2015 .