Block Copolymer Lithography

This Perspective addresses the current state of block copolymer lithography and identifies key challenges and opportunities within the field. Significant strides in experimental and theoretical thin film research have nucleated the transition of block copolymers “from lab to fab”, but outstanding questions remain about the optimal materials, processes, and analytical techniques for first-generation devices and beyond. Particular attention herein is focused on advances and issues related to thermal annealing. Block copolymers are poised to change the traditional lithographic resolution enhancement paradigm from “top-down” to “bottom-up”.

[1]  Xiaodan Gu,et al.  High Aspect Ratio Sub‐15 nm Silicon Trenches From Block Copolymer Templates , 2012, Advanced materials.

[2]  A. Bosse,et al.  Effects of segregation strength and an external field on the thermal line edge and line width roughness spectra of a diblock copolymer resist , 2011 .

[3]  Marc A. Hillmyer,et al.  Polylactide-poly(dimethylsiloxane)-polylactide triblock copolymers as multifunctional materials for nanolithographic applications. , 2010, ACS nano.

[4]  E. Kramer,et al.  Graphoepitaxy of Spherical Domain Block Copolymer Films , 2001 .

[5]  E. W. Edwards,et al.  Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures , 2005, Science.

[6]  Juan J. de Pablo,et al.  Combining advanced lithographic techniques and self-assembly of thin films of diblock copolymers to produce templates for nanofabrication , 2000 .

[7]  Craig J. Hawker,et al.  A Simple Route to Metal Nanodots and Nanoporous Metal Films , 2002 .

[8]  Y. Jung,et al.  Orientation-controlled self-assembled nanolithography using a polystyrene-polydimethylsiloxane block copolymer. , 2007, Nano letters.

[9]  Eric W. Cochran,et al.  Temperature Dependence of Order, Disorder, and Defects in Laterally Confined Diblock Copolymer Cylinder Monolayers , 2005 .

[10]  C. M. Bates,et al.  Multiblock Polymers: Panacea or Pandora’s Box? , 2012, Science.

[11]  J. Peeling,et al.  Application of ESCA to polymer chemistry. VIII. Surface structures of AB block copolymers of polydimethylsiloxane and polystyrene , 1976 .

[12]  Craig J. Hawker,et al.  Efficient Surface Neutralization and Enhanced Substrate Adhesion through Ketene Mediated Crosslinking and Functionalization , 2013 .

[13]  D. Sanders,et al.  Advances in patterning materials for 193 nm immersion lithography. , 2010, Chemical reviews.

[14]  C. Hawker,et al.  Controlling Polymer-Surface Interactions with Random Copolymer Brushes , 1997, Science.

[15]  Timothy P. Lodge,et al.  Synthesis, Characterization, and Interaction Strengths of Difluorocarbene-Modified Polystyrene−Polyisoprene Block Copolymers , 2000 .

[16]  Dieter Van Den Heuvel,et al.  Defect source analysis of directed self-assembly process (DSA of DSA) , 2013, Advanced Lithography.

[17]  Caroline A. Ross,et al.  High-Aspect-Ratio Perpendicular Orientation of PS-b-PDMS Thin Films under Solvent Annealing. , 2012, ACS macro letters.

[18]  E. W. Edwards,et al.  Binary blends of diblock copolymers as an effective route to multiple length scales in perfect directed self-assembly of diblock copolymer thin films , 2006 .

[19]  Ofir Montal,et al.  Directed self-assembly defectivity assessment. Part II , 2012, Advanced Lithography.

[20]  E. Bryan Coughlin,et al.  Synthesis of Semicrystalline/Fluorinated Side-Chain Crystalline Block Copolymers and Their Bulk and Thin Film Nanoordering , 2013 .

[21]  Thomas H. Epps,et al.  Directed Block Copolymer Thin Film Self-Assembly: Emerging Trends in Nanopattern Fabrication , 2013 .

[22]  Paul F. Nealey,et al.  Decoupling Bulk Thermodynamics and Wetting Characteristics of Block Copolymer Thin Films. , 2012, ACS macro letters.

[23]  M. Morris,et al.  Solvent Vapor Annealing of Block Polymer Thin Films , 2013 .

[24]  Chi-Chun Liu,et al.  Pattern placement accuracy in block copolymer directed self-assembly based on chemical epitaxy. , 2013, ACS nano.

[25]  S. V. Sreenivasan,et al.  Nanoscale Manufacturing Enabled by Imprint Lithography , 2008 .

[26]  A. Bosse,et al.  Phase-Field Simulation of Long-Wavelength Line Edge Roughness in Diblock Copolymer Resists , 2010 .

[27]  Mark A. Hartney,et al.  BLOCK COPOLYMERS AS BILEVEL RESISTS. , 1985 .

[28]  Craig J. Hawker,et al.  Using Surface Active Random Copolymers To Control the Domain Orientation in Diblock Copolymer Thin Films , 1998 .

[29]  Wei Zheng,et al.  Morphology of ABC Triblock Copolymers , 1995 .

[30]  J. Weinhold,et al.  Photonic Polyethylene from Self-Assembled Mesophases of Polydisperse Olefin Block Copolymers , 2009 .

[31]  John A. Rogers,et al.  Polymer Imprint Lithography with Molecular-Scale Resolution , 2004 .

[32]  E. Helfand,et al.  Block Copolymer Theory. 4. Narrow Interphase Approximation , 1976 .

[33]  Russell B. Thompson,et al.  Equilibrium behavior of symmetric ABA triblock copolymer melts , 1999 .

[34]  Christopher J. Ellison,et al.  Polydispersity effects in poly(isoprene-b-styrene-b-ethylene oxide) triblock terpolymers. , 2009, The Journal of chemical physics.

[35]  T. Russell Changes in polystyrene and poly(methyl methacrylate) interactions with isotopic substitution , 1993 .

[36]  A. Whittaker,et al.  Control of the orientation of symmetric poly(styrene)-block-poly(D,L-lactide) block copolymers using statistical copolymers of dissimilar composition. , 2012, Langmuir : the ACS journal of surfaces and colloids.

[37]  M. Stamm,et al.  Comparison of interfacial width of block copolymers of d(8)-poly(methyl methacrylate) with various poly(n-alkyl methacrylate)s and the respective homopolymer pairs as measured by neutron reflection , 1999 .

[38]  M. Hillmyer Polydisperse block copolymers: Don't throw them away , 2007 .

[39]  Paul F. Nealey,et al.  Using Self-Assembled Monolayers Exposed to X-rays To Control the Wetting Behavior of Thin Films of Diblock Copolymers , 2000 .

[40]  G. Fredrickson,et al.  Block copolymer thermodynamics: theory and experiment. , 1990, Annual review of physical chemistry.

[41]  Soojin Park,et al.  A simple route to highly oriented and ordered nanoporous block copolymer templates. , 2008, ACS nano.

[42]  Marc A. Hillmyer,et al.  Synthesis, Thermodynamics, and Dynamics of Poly(4-tert-butylstyrene-b-methyl methacrylate) , 2012 .

[43]  Seth B Darling,et al.  A route to nanoscopic materials via sequential infiltration synthesis on block copolymer templates. , 2011, ACS nano.

[44]  Christopher J. Ellison,et al.  Oligosaccharide/silicon-containing block copolymers with 5 nm features for lithographic applications. , 2012, ACS nano.

[45]  Joy Y. Cheng,et al.  Dense Self‐Assembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers , 2008 .

[46]  Marcus Müller,et al.  Rapid Directed Assembly of Block Copolymer Films at Elevated Temperatures , 2008 .

[47]  K. Carter An age-old printing process goes nano. , 2010, ACS nano.

[48]  Lei Wan,et al.  Topcoat Approaches for Directed Self-Assembly of Strongly Segregating Block Copolymer Thin Films , 2013 .

[49]  Joel K. W. Yang,et al.  Graphoepitaxy of Self-Assembled Block Copolymers on Two-Dimensional Periodic Patterned Templates , 2008, Science.

[50]  Joy Cheng,et al.  Formation of a Cobalt Magnetic Dot Array via Block Copolymer Lithography , 2001 .

[51]  V. Deline,et al.  Surface-induced orientation of symmetric, diblock copolymers: a secondary ion mass spectrometry study , 1989 .

[52]  V. Deline,et al.  Characteristics of the surface-induced orientation for symmetric diblock PS/PMMA copolymers , 1989 .

[53]  V. Ganesan,et al.  Highly asymmetric lamellar nanopatterns via block copolymer blends capable of hydrogen bonding. , 2012, ACS nano.

[54]  Mark Somervell,et al.  Pattern scaling with directed self assembly through lithography and etch process integration , 2012, Advanced Lithography.

[55]  Juan J. de Pablo,et al.  Free Energy of Defects in Ordered Assemblies of Block Copolymer Domains. , 2012, ACS macro letters.

[56]  E. Han,et al.  Bulk and Thin Film Morphological Behavior of Broad Dispersity Poly(styrene-b-methyl methacrylate) Diblock Copolymers , 2013 .

[57]  Christopher J. Ellison,et al.  Light-Activated Replication of Block Copolymer Fingerprint Patterns , 2013 .

[58]  Craig J. Hawker,et al.  Facile Routes to Patterned Surface Neutralization Layers for Block Copolymer Lithography , 2007 .

[59]  Eric M. Gullikson,et al.  Measuring the Structure of Epitaxially Assembled Block Copolymer Domains with Soft X-ray Diffraction , 2010 .

[60]  R. Ruiz,et al.  Image quality and pattern transfer in directed self assembly with block-selective atomic layer deposition , 2012 .

[61]  Christopher J. Ellison,et al.  Polarity-Switching Top Coats Enable Orientation of Sub–10-nm Block Copolymer Domains , 2012, Science.

[62]  Eric W. Cochran,et al.  Design of ABC Triblock Copolymers near the ODT with the Random Phase Approximation , 2003 .

[63]  Michael J. Maher,et al.  Block Copolymer Orientation Control Using a Top-Coat Surface Treatment , 2012 .

[64]  S. Perrier,et al.  Ordered Microphase Separation in Thin Films of PMMA−PBA Synthesized by RAFT: Effect of Block Polydispersity , 2009 .

[65]  G. Whitesides,et al.  Soft lithography for micro- and nanoscale patterning , 2010, Nature Protocols.

[66]  Craig J Hawker,et al.  A Generalized Approach to the Modification of Solid Surfaces , 2005, Science.

[67]  Stephen Y. Chou,et al.  Imprint lithography with sub-10 nm feature size and high throughput , 1997 .

[68]  Christopher J. Ellison,et al.  Synthesis and thin‐film orientation of poly(styrene‐block‐trimethylsilylisoprene) , 2013 .

[69]  Henry I. Smith,et al.  Fabrication of nanostructures with long-range order using block copolymer lithography , 2002 .

[70]  Timothy M. Gillard,et al.  Fluctuations, Order, and Disorder in Short Diblock Copolymers , 2013 .

[71]  C. Willson,et al.  Step & flash imprint lithography , 2005 .

[72]  Ralph R. Dammel,et al.  Cost-Effective Sub-20 nm Lithography: Smart Chemicals to the Rescue , 2011 .

[73]  M. Matsen Architectural Effect on the Surface Tension of an ABA Triblock Copolymer Melt , 2010 .

[74]  Y. Lyatskaya,et al.  Lamellar structure formation in the mixture of two cylinder‐forming block copolymers , 1994 .

[75]  Frank S. Bates,et al.  Model ABC triblock copolymers and blends near the order-disorder transition , 2002 .

[76]  D. R. Paul,et al.  Interaction energies for blends of poly(methyl methacrylate), polystyrene, and poly(.alpha.-methylstyrene) by the critical molecular weight method , 1993 .

[77]  D. Herr Directed block copolymer self-assembly for nanoelectronics fabrication , 2011 .

[78]  A. Mayes,et al.  Microphase separation in multiblock copolymer melts , 1989 .

[79]  Y. Jung,et al.  Fabrication of diverse metallic nanowire arrays based on block copolymer self-assembly. , 2010, Nano letters.

[80]  R. J. Kline,et al.  Directed Self-Assembly of Lamellar Copolymers: Effects of Interfacial Interactions on Domain Shape. , 2012, ACS macro letters.

[81]  Soojin Park,et al.  Macroscopic 10-Terabit–per–Square-Inch Arrays from Block Copolymers with Lateral Order , 2009, Science.

[82]  P. Nealey,et al.  In situ metallization of patterned polymer brushes created by molecular transfer print and fill , 2013, Nanotechnology.

[83]  C. Grant Willson,et al.  Thin Film Self-Assembly of Poly(trimethylsilylstyrene-b-D,L-lactide) with Sub-10 nm Domains , 2012 .

[84]  E. Kramer,et al.  Effect of film thickness and domain spacing on defect densities in directed self-assembly of cylindrical morphology block copolymers. , 2012, ACS nano.

[85]  Andrew L. Schmitt,et al.  Unexpected consequences of block polydispersity on the self-assembly of ABA triblock copolymers. , 2012, Journal of the American Chemical Society.

[86]  T. Albrecht,et al.  Rectangular patterns using block copolymer directed assembly for high bit aspect ratio patterned media. , 2011, ACS nano.

[87]  G. Fredrickson,et al.  Can a single function for χ account for block copolymer and homopolymer blend phase behavior , 1998 .

[88]  Marc A. Hillmyer,et al.  Nanoporous materials from block copolymer precursors , 2005 .

[89]  Roel Gronheid,et al.  Comparison of directed self-assembly integrations , 2012, Other Conferences.

[90]  M. S. Onses,et al.  Site‐Specific Placement of Au Nanoparticles on Chemical Nanopatterns Prepared by Molecular Transfer Printing Using Block‐Copolymer Films , 2011 .

[91]  Lei Wan,et al.  Bit Patterned Media at 1 Tdot/in2 and Beyond , 2013, IEEE Transactions on Magnetics.

[92]  P. Nealey,et al.  Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates , 2003, Nature.

[93]  Chi-Chun Liu,et al.  Plasma etch removal of poly(methyl methacrylate) in block copolymer lithography , 2008 .

[94]  Eungnak Han,et al.  One‐Step Direct‐Patterning Template Utilizing Self‐Assembly of POSS‐Containing Block Copolymers , 2009, Advanced materials.

[95]  Craig J. Hawker,et al.  Interfacial Segregation in Disordered Block Copolymers: Effect of Tunable Surface Potentials , 1997 .

[96]  Kim Y. Lee,et al.  Advanced Lithography for Bit Patterned Media , 2009, IEEE Transactions on Magnetics.

[97]  S. Sibener,et al.  Dynamics of molecular and polymeric interfaces probed with atomic beam scattering and scanning probe imaging. , 2012, Faraday discussions.

[98]  Audrey M. Bowen,et al.  Transfer Printing Techniques for Materials Assembly and Micro/Nanodevice Fabrication , 2012, Advanced materials.

[99]  Michael T. Sheehan,et al.  High chi polymer development for DSA applications using RAFT technology , 2013, Advanced Lithography.

[100]  Christopher J. Ellison,et al.  Polymeric cross-linked surface treatments for controlling block copolymer orientation in thin films. , 2011, Langmuir : the ACS journal of surfaces and colloids.

[101]  Easan Sivaniah,et al.  SAXS Analysis of the Order−Disorder Transition and the Interaction Parameter of Polystyrene-block-poly(methyl methacrylate) , 2008 .

[102]  Christopher Harrison,et al.  Block copolymer lithography: Periodic arrays of ~1011 holes in 1 square centimeter , 1997 .

[103]  Thomas P. Russell,et al.  Temperature dependence of the interaction parameter of polystyrene and poly(methyl methacrylate) , 1990 .

[104]  Erick Sutanto,et al.  Hierarchical patterns of three-dimensional block-copolymer films formed by electrohydrodynamic jet printing and self-assembly. , 2013, Nature nanotechnology.

[105]  W. Hinsberg,et al.  Block copolymer based nanostructures: materials, processes, and applications to electronics. , 2010, Chemical reviews.

[106]  Juan J de Pablo,et al.  Directed assembly of non-equilibrium ABA triblock copolymer morphologies on nanopatterned substrates. , 2012, ACS nano.

[107]  E. Kramer,et al.  Quantification of Temperature-Dependent Order in Graphoepitaxially Aligned Monolayer and Bilayer Films of Cylindrical Morphology Block Copolymer , 2013 .

[108]  Marc A. Hillmyer,et al.  Influence of Polydispersity on the Self-Assembly of Diblock Copolymers , 2005 .

[109]  Juan J. de Pablo,et al.  Chemical Patterns for Directed Self-Assembly of Lamellae-Forming Block Copolymers with Density Multiplication of Features , 2013 .

[110]  Eric W. Cochran,et al.  Effect of Chain Architecture and Surface Energies on the Ordering Behavior of Lamellar and Cylinder Forming Block Copolymers , 2006 .