Parallel Forwarding for Efficient Bandwidth Utilization in Networks-on-Chip
暂无分享,去创建一个
[1] Karthikeyan Sankaralingam,et al. On-Chip Interconnection Networks of the TRIPS Chip , 2007, IEEE Micro.
[2] A. Kumary,et al. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS , 2007 .
[3] Giovanni De Micheli,et al. CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[4] Chen Sun,et al. DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[5] Efraim Rotem,et al. Power-Management Architecture of the Intel Microarchitecture Code-Named Sandy Bridge , 2012, IEEE Micro.
[6] Luca P. Carloni,et al. Virtual Channels and Multiple Physical Networks: Two Alternatives to Improve NoC Performance , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[7] Hamid Sarbazi-Azad,et al. Application-Aware Topology Reconfiguration for On-Chip Networks , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[8] Jongman Kim,et al. Do we need wide flits in Networks-on-Chip? , 2013, 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[9] Mario Badr,et al. SynFull: Synthetic traffic models capturing cache coherent behaviour , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[10] Mehdi Modarressi,et al. A hybrid packet/circuit-switched router to accelerate memory access in NoC-based chip multiprocessors , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[11] Henry Hoffmann,et al. On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.
[12] Hamid Sarbazi-Azad,et al. Near-Ideal Networks-on-Chip for Servers , 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[13] Stephen W. Keckler,et al. Netrace: dependency-driven trace-based network-on-chip simulation , 2010, NoCArc '10.
[14] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[15] Saurabh Dighe,et al. A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling , 2011, IEEE Journal of Solid-State Circuits.
[16] Natalie D. Enright Jerger,et al. Whole packet forwarding: Efficient design of fully adaptive routing algorithms for networks-on-chip , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[17] Henry Wong,et al. Analyzing CUDA workloads using a detailed GPU simulator , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.