TSV-Based 3-D ICs: Design Methods and Tools
暂无分享,去创建一个
Ankur Srivastava | Sung Kyu Lim | Caleb Serafy | Sandeep Kumar Samal | Zhiyuan Yang | Tiantao Lu | S. Lim | S. Samal | Caleb Serafy | Zhiyuan Yang | Ankur Srivastava | Tiantao Lu
[1] C. Keast,et al. Low-temperature oxide-bonded three-dimensional integrated circuits , 2002, 2002 IEEE International SOI Conference.
[2] Jong-Wha Chong,et al. Clock Mesh Network Design with Through‐Silicon Vias in 3D Integrated Circuits , 2014 .
[3] Kiyoung Choi,et al. A scalable processing-in-memory accelerator for parallel graph processing , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).
[4] Aamer Jaleel,et al. CAMEO: A Two-Level Memory Organization with Capacity of Main Memory and Flexibility of Hardware-Managed Cache , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.
[5] Suk-kyu Ryu,et al. Impact of Near-Surface Thermal Stresses on Interfacial Reliability of Through-Silicon Vias for 3-D Interconnects , 2011, IEEE Transactions on Device and Materials Reliability.
[6] Jason Cong,et al. Thermal-Aware 3D IC Placement Via Transformation , 2007, 2007 Asia and South Pacific Design Automation Conference.
[7] Hideo Miura,et al. Improvement of the Long-Term Reliability of TSV Interconnections Used in Three-Dimensional Stacked Modules , 2014 .
[8] Gabriel H. Loh,et al. 3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.
[9] Yusuf Leblebici,et al. Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[10] Xiaobo Sharon Hu,et al. Enhancing multicore reliability through wear compensation in online assignment and scheduling , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[11] Narayanan Vijaykrishnan,et al. Design Space Exploration for 3-D Cache , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Yu-Lin Shen. Thermo-mechanical stresses in copper interconnects - A modeling analysis , 2006 .
[13] Sung Kyu Lim,et al. Tier partitioning strategy to mitigate BEOL degradation and cost issues in monolithic 3D ICs , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[14] Uri C. Weiser,et al. Interconnect-power dissipation in a microprocessor , 2004, SLIP '04.
[15] Kirsten Weide-Zaage,et al. Exemplified calculation of stress migration in a 90nm node via structure , 2010, International Conference on Thermal, Mechanial and Multi-Physics Simulation and Experiments in Micro-Electronics and Micro-Systems.
[16] Pritish R. Parida,et al. Embedded Two-Phase Cooling of Large Three-Dimensional Compatible Chips With Radial Channels , 2016 .
[17] Taewhan Kim,et al. Clock Tree synthesis for TSV-based 3D IC designs , 2011, TODE.
[18] Tony F. Wu,et al. Monolithic 3D integration of logic and memory: Carbon nanotube FETs, resistive RAM, and silicon FETs , 2014, 2014 IEEE International Electron Devices Meeting.
[19] Mohamed M. Sabryz,et al. Thermal analysis and active cooling management for 3D MPSoCs , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).
[20] Yu Wang,et al. TSV-aware topology generation for 3D Clock Tree Synthesis , 2013, International Symposium on Quality Electronic Design (ISQED).
[21] Ankur Srivastava,et al. Electromigration-aware Clock Tree Synthesis for TSV-based 3D-ICs , 2015, ACM Great Lakes Symposium on VLSI.
[22] Ankur Srivastava,et al. Modeling and Layout Optimization for Tapered TSVs , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[23] S. Hamdioui,et al. Why is CMOS scaling coming to an END? , 2008, 2008 3rd International Design and Test Workshop.
[24] Andrew B. Kahng,et al. Improved algorithms for hypergraph bipartitioning , 2000, ASP-DAC '00.
[25] Jie Meng,et al. Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints , 2012, DAC Design Automation Conference 2012.
[26] Qiang Zhou,et al. Via assignment algorithm for hierarchical 3D placement , 2005, Proceedings. 2005 International Conference on Communications, Circuits and Systems, 2005..
[27] R. Pucel,et al. Modeling via hole grounds in microstrip , 1991, IEEE Microwave and Guided Wave Letters.
[28] Sung Kyu Lim,et al. Match-making for Monolithic 3D IC: Finding the right technology node , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[29] Parag Agrawal,et al. The case for RAMClouds: scalable high-performance storage entirely in DRAM , 2010, OPSR.
[30] Sungjun Im,et al. Integrated Microchannel Cooling for Three-Dimensional Electronic Circuit Architectures , 2005 .
[31] Cyrille Le Royer,et al. 3D monolithic integration , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).
[32] Kia Bazargan,et al. Placement and routing in 3D integrated circuits , 2005, IEEE Design & Test of Computers.
[33] Sachin S. Sapatnekar,et al. Placement of 3D ICs with Thermal and Interlayer Via Considerations , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[34] Martin Burtscher,et al. Bridging the processor-memory performance gap with 3D IC technology , 2005, IEEE Design & Test of Computers.
[35] Chih-Chao Yang,et al. Sub-50nm monolithic 3D IC with low-power CMOS inverter and 6T SRAM , 2015, 2015 International Symposium on VLSI Technology, Systems and Applications.
[36] Tao Zhang,et al. Thermomechanical stress-aware management for 3D IC designs , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[37] Tiantao Lu,et al. Electrical-Thermal-Reliability Co-Design for TSV-Based 3D-ICs , 2015 .
[38] Jie Gu,et al. Multi-story power delivery for supply noise reduction and low voltage operation , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[39] Gang Huang,et al. Power Delivery for 3D Chip Stacks: Physical Modeling and Design Implication , 2007, 2007 IEEE Electrical Performance of Electronic Packaging.
[40] Soha Hassoun,et al. Power Delivery Design for 3-D ICs Using Different Through-Silicon Via (TSV) Technologies , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[41] Sung Kyu Lim,et al. Full-chip inter-die parasitic extraction in face-to-face-bonded 3D ICs , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[42] Ting-Chi Wang,et al. Through-Silicon Via Planning in 3-D Floorplanning , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[43] Sung Kyu Lim,et al. How much cost reduction justifies the adoption of monolithic 3D ICs at 7nm node? , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[44] Dereje Agonafer,et al. Experimental Analysis Model of an Active Cooling Method for 3D-ICs Utilizing Multidimensional Configured Thermoelectric Coolers , 2010 .
[45] J. K. Efavi,et al. CMOS integration of epitaxial Gd2O3 high-k gate dielectrics , 2006 .
[46] Hsien-Hsin S. Lee,et al. Pre-bond testable low-power clock tree design for 3D stacked ICs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[47] Giovanni De Micheli,et al. CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[48] David Z. Pan,et al. A fast simulation framework for full-chip thermo-mechanical stress and reliability analysis of through-silicon-via based 3D ICs , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).
[49] Franz Franchetti,et al. A 3D-stacked logic-in-memory accelerator for application-specific data intensive computing , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).
[50] Nisha Checka,et al. 3-D Interconnects Using Cu Wafer Bonding : Technology and Applications , 2004 .
[51] Taewhan Kim,et al. Clock tree embedding for 3D ICs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[52] J. Black. Electromigration failure modes in aluminum metallization for semiconductor devices , 1969 .
[53] Jae-Seok Yang,et al. TSV stress aware timing analysis with applications to 3D-IC layout optimization , 2010, Design Automation Conference.
[54] Ankur Srivastava,et al. Design Space Exploration of 3D CPUs and Micro-Fluidic Heatsinks With Thermo-Electrical-Physical Co-Optimization , 2015 .
[55] Sachin S. Sapatnekar,et al. Temperature-aware routing in 3D ICs , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[56] Yiyu Shi,et al. Through-Silicon Via Fault-Tolerant Clock Networks for 3-D ICs , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[57] Sung Kyu Lim,et al. Three-tier 3D ICs for more power reduction: Strategies in CAD, design, and bonding selection , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[58] Andrew B. Kahng,et al. A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[59] Yogendra Joshi,et al. Enhancement in CMOS chip performance through microfluidic cooling , 2014, 20th International Workshop on Thermal Investigations of ICs and Systems.
[60] Xin Zhao,et al. Analysis and Modeling of DC Current Crowding for TSV-Based 3-D Connections and Power Integrity , 2014, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[61] W. Hunter,et al. AC electromigration characterization and modeling of multilayered interconnects , 1993, 31st Annual Proceedings Reliability Physics 1993.
[62] Yao-Wen Chang,et al. TCG: a transitive closure graph-based representation for non-slicing floorplans , 2001, DAC '01.
[63] Ankur Srivastava,et al. A geometric approach to chip-scale TSV shield placement for the reduction of TSV coupling in 3D-ICs , 2014, Integr..
[64] Sung Kyu Lim,et al. Tier-partitioning for power delivery vs cooling tradeoff in 3D VLSI for mobile applications , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[65] Xin Zhao,et al. Analysis of DC current crowding in through-silicon-vias and its impact on power integrity in 3D ICs , 2012, DAC Design Automation Conference 2012.
[66] Yan Solihin,et al. CHOP: Adaptive filter-based DRAM caching for CMP server platforms , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[67] E. Friedman,et al. Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance , 2009, IEEE Transactions on Electron Devices.
[68] David Blaauw,et al. Process variation and temperature-aware reliability management , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[69] Emre Salman,et al. Compact model to efficiently characterize TSV-to-transistor noise coupling in 3D ICs , 2014, Integr..
[70] Terrence S. T. Mak,et al. Dynamic programming-based runtime thermal management (DPRTM) , 2013, ACM Trans. Design Autom. Electr. Syst..
[71] Jason Cong,et al. An Analytical Placement Framework for 3-D ICs and Its Extension on Thermal Awareness , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[72] Sung Kyu Lim,et al. A novel TSV topology for many-tier 3D power-delivery networks , 2011, 2011 Design, Automation & Test in Europe.
[73] Taewhan Kim,et al. Synthesis of TSV Fault-Tolerant 3-D Clock Trees , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[74] Taigon Song,et al. Modeling and analysis of coupling between TSVs, metal, and RDL interconnects in TSV-based 3D IC with silicon interposer , 2009, 2009 11th Electronics Packaging Technology Conference.
[75] Kaustav Banerjee,et al. 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.
[76] Jason Cong,et al. Thermal via planning for 3-D ICs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[77] Sachin Sapatnekar,et al. Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach , 2003, ICCAD 2003.
[78] V. Misra,et al. Compatibility of dual metal gate electrodes with high-k dielectrics for CMOS , 2003, IEEE International Electron Devices Meeting 2003.
[79] Pingqiang Zhou. Interconnect Design Techniques for Multicore and 3D Integrated Circuits , 2012 .
[80] Mark Mohammad Tehranipoor,et al. Security and Vulnerability Implications of 3D ICs , 2016, IEEE Transactions on Multi-Scale Computing Systems.
[81] A. Farcy,et al. Through Silicon Capacitor co-integrated with TSV as an efficient 3D decoupling capacitor solution for power management on silicon interposer , 2014, 2014 IEEE 64th Electronic Components and Technology Conference (ECTC).
[82] Kiyoung Choi,et al. PIM-enabled instructions: A low-overhead, locality-aware processing-in-memory architecture , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).
[83] Ankur Srivastava,et al. Electromigration-aware placement for 3D-ICs , 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).
[84] Xin Zhao,et al. Transient modeling of TSV-wire electromigration and lifetime analysis of power distribution network for 3D ICs , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[85] Sung Kyu Lim,et al. Exploiting die-to-die thermal coupling in 3D IC placement , 2012, DAC Design Automation Conference 2012.
[86] Meeta Sharma Gupta,et al. Understanding Voltage Variations in Chip Multiprocessors using a Distributed Power-Delivery Network , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[87] David Gillen,et al. Laser technology for wafer dicing and microvia drilling for next generation wafers (Invited Paper) , 2005, SPIE LASE.
[88] Sung Kyu Lim,et al. Power benefit study for ultra-high density transistor-level monolithic 3D ICs , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[89] Sachin S. Sapatnekar,et al. Thermal via placement in 3D ICs , 2005, ISPD '05.
[90] Jae-Seok Yang,et al. Stress-driven 3D-IC placement with TSV keep-out zone and regularity study , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[91] Ankur Srivastava,et al. High performance 3D stacked DRAM processor architectures with micro-fluidic cooling , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).
[92] Ankur Srivastava,et al. Non-uniform micro-channel design for stacked 3D-ICs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[93] Ankur Srivastava,et al. Co-Placement for Pin-Fin Based Micro-Fluidically Cooled 3D ICs , 2015 .
[94] John H. Lau,et al. Development of 3-D silicon die stacked package using flip chip technology with micro bump interconnects , 2009, 2009 59th Electronic Components and Technology Conference.
[95] Xi Liu,et al. Full-chip through-silicon-via interfacial crack analysis and optimization for 3D IC , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[96] Jiwoo Pak,et al. Electromigration Study for Multiscale Power/Ground Vias in TSV-Based 3-D ICs , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[97] K. Warner,et al. Three-dimensional integrated circuits for low-power, high-bandwidth systems on a chip , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).
[98] Chenming Hu,et al. Projecting interconnect electromigration lifetime for arbitrary current waveforms , 1990 .
[99] Wei-Chung Lo,et al. Thermal Effect Characterization of Laser-Ablated Silicon-Through Interconnect , 2006, 2006 1st Electronic Systemintegration Technology Conference.
[100] Ankur Srivastava,et al. Unlocking the true potential of 3D CPUs with micro-fluidic cooling , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[101] Qiang Zhou,et al. Integrating dynamic thermal via planning with 3D floorplanning algorithm , 2006, ISPD '06.
[102] R. A. Pucel,et al. Design Considerations for Monolithic Microwave Circuits , 1981 .
[103] Soha Hassoun,et al. Through-Silicon Via (TSV)-induced noise characterization and noise mitigation using coaxial TSVs , 2009, 2009 IEEE International Conference on 3D System Integration.
[104] David Atienza,et al. Thermal analysis and active cooling management for 3D MPSoCs , 2011, ISCAS.
[105] Soha Hassoun,et al. System-level comparison of power delivery design for 2D and 3D ICs , 2009, 2009 IEEE International Conference on 3D System Integration.
[106] Kwang-Yong Kim,et al. Design Optimization of Manifold Microchannel Heat Sink Through Evolutionary Algorithm Coupled With Surrogate Model , 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[107] Sung Kyu Lim,et al. On enhancing power benefits in 3D ICs: Block folding and bonding styles perspective , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[108] Qiang Xu,et al. On effective and efficient in-field TSV repair for stacked 3D ICs , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[109] David Atienza,et al. GreenCool: An Energy-Efficient Liquid Cooling Design Technique for 3-D MPSoCs Via Channel Width Modulation , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[110] Sheng-Chih Lin,et al. Cool Chips: Opportunities and Implications for Power and Thermal Management , 2008, IEEE Transactions on Electron Devices.
[111] Sung Kyu Lim,et al. Silicon Effect-Aware Full-Chip Extraction and Mitigation of TSV-to-TSV Coupling , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[112] Ankur Srivastava,et al. Detailed electrical and reliability study of tapered TSVs , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).
[113] Junho Lee,et al. Modeling and Analysis of Through-Silicon Via (TSV) Noise Coupling and Suppression Using a Guard Ring , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[114] Luca Benini,et al. Workload and user experience-aware Dynamic Reliability Management in multicore processors , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[115] W. Dehaene,et al. Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs , 2010, IEEE Transactions on Electron Devices.
[116] Sung Kyu Lim,et al. Multi-functional interconnect co-optimization for fast and reliable 3D stacked ICs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[117] Ankur Srivastava,et al. Gated low-power clock tree synthesis for 3D-ICs , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[118] Sung Kyu Lim,et al. Full-chip multiple TSV-to-TSV coupling extraction and optimization in 3D ICs , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[119] Jongwoo Park,et al. An experimental study on the TSV reliability: Electromigration (EM) and time dependant dielectric breakdown (TDDB) , 2012, 2012 IEEE International Interconnect Technology Conference.
[120] David Atienza,et al. Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[121] Gabriel H. Loh,et al. Extending the effectiveness of 3D-stacked DRAM caches with an adaptive multi-queue policy , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[122] Sung Kyu Lim,et al. Design and CAD methodologies for low power gate-level monolithic 3D ICs , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[123] Jung Ho Ahn,et al. NDA: Near-DRAM acceleration architecture leveraging commodity DRAM devices and standard memory modules , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[124] J. Patel,et al. Enabling SOI-based assembly technology for three-dimensional (3d) integrated circuits (ICs) , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[125] Jiwoo Pak,et al. Electromigration-aware routing for 3D ICs with stress-aware EM modeling , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[126] Ulf Schlichtmann,et al. Kraftwerk2—A Fast Force-Directed Quadratic Placement Approach Using an Accurate Net Model , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[127] E. Beyne,et al. Analysis of the Induced Stresses in Silicon During Thermcompression Cu-Cu Bonding of Cu-Through-Vias in 3D-SIC Architecture , 2007, 2007 Proceedings 57th Electronic Components and Technology Conference.
[128] Taewhan Kim,et al. Clock tree synthesis with pre-bond testability for 3D stacked IC Designs , 2010, Design Automation Conference.
[129] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[130] Sung Kyu Lim,et al. Full chip impact study of power delivery network designs in monolithic 3D ICs , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[131] Patrick McCluskey,et al. Reliable Integration of Microchannel Coolers for Power Electronics , 2015 .
[132] Xin Zhao,et al. Buffered clock tree synthesis for 3D ICs under thermal variations , 2008, 2008 Asia and South Pacific Design Automation Conference.
[133] M. Bakir,et al. Coupled electrical and thermal 3D IC centric microfluidic heat sink design and technology , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).
[134] David Z. Pan,et al. MeshWorks: An efficient framework for planning, synthesis and optimization of clock mesh networks , 2008, 2008 Asia and South Pacific Design Automation Conference.
[135] Peter Ramm,et al. 3D-integration of silicon devices: A key technology for sophisticated products , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[136] P. Batude,et al. Low temperature FDSOI devices, a key enabling technology for 3D sequential integration , 2013, 2013 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).
[137] Sudhakar Yalamanchili,et al. Architectural Reliability: Lifetime Reliability Characterization and Management ofMany-Core Processors , 2015, IEEE Computer Architecture Letters.
[138] M. Turowski,et al. Fast, automated thermal simulation of three-dimensional integrated circuits , 2004, The Ninth Intersociety Conference on Thermal and Thermomechanical Phenomena In Electronic Systems (IEEE Cat. No.04CH37543).
[139] Chih-Sheng Chang,et al. Modeling mechanical stress effect on dopant diffusion in scaled MOSFETs , 2005, IEEE Transactions on Electron Devices.
[140] J. Thomas Pawlowski,et al. Hybrid memory cube (HMC) , 2011, 2011 IEEE Hot Chips 23 Symposium (HCS).
[141] Jiang Hu,et al. Reducing clock skew variability via cross links , 2004, Proceedings. 41st Design Automation Conference, 2004..
[142] Doug C. H. Yu,et al. Process development to enable 3D IC multi-tier die bond for 20μM pitch and beyond , 2014, 2014 IEEE 64th Electronic Components and Technology Conference (ECTC).
[143] Xiaoxia Wu,et al. Hybrid cache architecture with disparate memory technologies , 2009, ISCA '09.
[144] Nikil D. Dutt,et al. VAWOM: Temperature and process variation aware WearOut Management in 3D multicore architecture , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[145] Daniel Smith,et al. Process development and optimization for high-aspect ratio through-silicon via (TSV) etch , 2016, 2016 27th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC).
[146] Sung Kyu Lim,et al. Power delivery system architecture for many-tier 3D systems , 2010, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).
[147] Jan-Ming Ho,et al. Zero skew clock routing with minimum wirelength , 1992 .
[148] Caleb M. Serafy. Architectural-physical co-design of 3D CPUs with micro-fluidic cooling , 2016 .
[149] Ankur Srivastava,et al. TSV Replacement and Shield Insertion for TSV–TSV Coupling Reduction in 3-D Global Placement , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[150] S. Tyagi. Moore's Law: A CMOS Scaling Perspective , 2007, 2007 14th International Symposium on the Physical and Failure Analysis of Integrated Circuits.
[151] Karthikeyan Sankaralingam,et al. Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.
[152] Yasuhiro Morikawa,et al. Total cost effective scallop free Si etching for 2.5D & 3D TSV fabrication technologies in 300mm wafer , 2013, 2013 IEEE 63rd Electronic Components and Technology Conference.
[153] R. M. Mattheyses,et al. A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.
[154] Jiwoo Pak,et al. Electromigration modeling and full-chip reliability analysis for BEOL interconnect in TSV-based 3D ICs , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[155] Mahmut T. Kandemir,et al. Leakage Current: Moore's Law Meets Static Power , 2003, Computer.
[156] A. Toffoli,et al. Advances in 3D CMOS sequential integration , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).
[157] TingTing Hwang,et al. A new architecture for power network in 3D IC , 2011, 2011 Design, Automation & Test in Europe.
[158] Ankur Srivastava,et al. Thermoelectric Codesign of 3-D CPUs and Embedded Microfluidic Pin-Fin Heatsinks , 2016, IEEE Design & Test.
[159] Ankur Srivastava,et al. Low-Power Clock Tree Synthesis for 3D-ICs , 2017, ACM Trans. Design Autom. Electr. Syst..
[160] Sung Kyu Lim,et al. A study of Through-Silicon-Via impact on the 3D stacked IC layout , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[161] Taigon Song,et al. PDN Impedance Modeling and Analysis of 3D TSV IC by Using Proposed P/G TSV Array Model Based on Separated P/G TSV and Chip-PDN Models , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[162] Jiwoo Pak,et al. Electromigration study for multi-scale power/ground vias in TSV-based 3D ICs , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[163] Sung Kyu Lim,et al. Fine-Grained 3-D IC Partitioning Study With a Multicore Processor , 2015, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[164] Sung Kyu Lim,et al. Chip/package co-analysis of thermo-mechanical stress and reliability in TSV-based 3D ICs , 2012, DAC Design Automation Conference 2012.
[165] Ankur Srivastava,et al. Voltage Noise Induced DRAM Soft Error Reduction Technique for 3D-CPUs , 2016, ISLPED.
[166] Jae-Seok Yang,et al. Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[167] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[168] Stephen A. Jarvis,et al. High Performance Computing Systems. Performance Modeling, Benchmarking and Simulation , 2013, Lecture Notes in Computer Science.
[169] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[170] Yao-Wen Chang,et al. TSV-aware analytical placement for 3D IC designs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[171] Sung Kyu Lim,et al. Through-silicon-via management during 3D physical design: When to add and how many? , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[172] Jian Xu,et al. Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.
[173] J. L. Prince,et al. Thermal wake models for forced air cooling of electronic components , 1993, [1993 Proceedings] Ninth Annual IEEE Semiconductor Thermal Measurement and Management Symposium.
[174] Sheldon X.-D. Tan,et al. Physics-based electromigration assessment for power grid networks , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[175] Shashi Shekhar,et al. Multilevel hypergraph partitioning: applications in VLSI domain , 1999, IEEE Trans. Very Large Scale Integr. Syst..
[176] Linda Milor,et al. Impact of die partitioning on reliability and yield of 3D DRAM , 2014, IEEE International Interconnect Technology Conference.
[177] Jian Sun,et al. 3D Power Delivery for Microprocessors and High-Performance ASICs , 2007, APEC 07 - Twenty-Second Annual IEEE Applied Power Electronics Conference and Exposition.
[178] Rajeev Balasubramonian,et al. Quantifying the relationship between the power delivery network and architectural policies in a 3D-stacked memory device , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[179] R. Chau,et al. A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging , 2007, 2007 IEEE International Electron Devices Meeting.
[180] Sung Kyu Lim,et al. Fast and accurate full-chip extraction and optimization of TSV-to-wire coupling , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[181] Young-Hyun Jun,et al. A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM With 4 $\times$ 128 I/Os Using TSV Based Stacking , 2011, IEEE Journal of Solid-State Circuits.
[182] Sung Kyu Lim,et al. Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[183] Ricardo Reis,et al. A cells and I/O pins partitioning refinement algorithm for 3D VLSI circuits , 2009, 2009 16th IEEE International Conference on Electronics, Circuits and Systems - (ICECS 2009).
[184] Ankur Srivastava,et al. Hybrid 3D-IC Cooling System Using Micro-fluidic Cooling and Thermal TSVs , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.
[185] John Keane,et al. A multi-story power delivery technique for 3D integrated circuits , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).
[186] C. Bower,et al. High density vertical interconnects for 3-D integration of silicon integrated circuits , 2006, 56th Electronic Components and Technology Conference 2006.
[187] Xin Zhao,et al. Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[188] Ankur Srivastava,et al. Post-Placement Optimization for Thermal-Induced Mechanical Stress Reduction , 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[189] Guilherme Flach,et al. High-performance clock mesh optimization , 2012, TODE.
[190] Brian W. Kernighan,et al. An efficient heuristic procedure for partitioning graphs , 1970, Bell Syst. Tech. J..
[191] Mike Ignatowski,et al. TOP-PIM: throughput-oriented programmable processing in memory , 2014, HPDC '14.
[192] Sung Kyu Lim,et al. On accurate full-chip extraction and optimization of TSV-to-TSV coupling elements in 3D ICs , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[193] Sachin S. Sapatnekar,et al. Hybrid structured clock network construction , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[194] H. Grampeix,et al. Enabling 3D Monolithic Integration , 2008 .
[195] Sung Kyu Lim,et al. TSV Stress-Aware Full-Chip Mechanical Reliability Analysis and Optimization for 3-D IC , 2012, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[196] Mahmut T. Kandemir,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[197] Sung Kyu Lim,et al. Wire congestion and thermal aware 3D global placement , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[198] Feifei Li,et al. NDC: Analyzing the impact of 3D-stacked memory+logic devices on MapReduce workloads , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[199] Li Shang,et al. Three-dimensional multiprocessor system-on-chip thermal optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[200] Jiwoo Pak,et al. Modeling of electromigration in through-silicon-via based 3D IC , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).