ECO Timing Optimization Using Spare Cells and Technology Remapping

We introduce in this paper a new problem of post-mask engineering change order (ECO) timing optimization using spare-cell rewiring and present a two-phase framework for this problem. Spare-cell rewiring is a popular technique for incremental timing optimization and/or functional change after the placement stage. The spare-cell rewiring problem is very challenging because of its dynamic wiring cost nature for selecting a spare cell, while the existing related problems consider only static wiring cost: once a standard cell is placed, its physical location is fixed and so is its wiring cost. For the spare-cell rewiring problem, each rewiring could make some spare cells become ordinary standard cells and some standard cells become new spare cells simultaneously. As a result, the wiring cost becomes dynamic and further complicates the optimization process. For the addressed problem, we present a two-phase framework of 1) buffer insertion and gate sizing followed by 2) technology remapping. For Phase 1, we present a dynamic programming algorithm considering the dynamic cost, called dynamic cost programming, for the ECO timing optimization with spare cells. Without loss of solution optimality, we further present an effective pruning method by selecting spare cells only inside an essential bounding polygon to reduce the solution space. For those ECO timing paths that cannot be fixed during Phase 1, we apply technology remapping on the spare cells to restructure the circuit to fix the timing violations. The whole framework is integrated into a commercial design flow. Experimental results based on five industry benchmarks show that our method is very effective and efficient in fixing the timing violations of ECO paths.

[1]  Thomas Kutzschebauch,et al.  Layout driven decomposition with congestion consideration , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[2]  Thomas Kutzschebauch,et al.  Congestion aware layout driven logic synthesis , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[3]  L.P.P.P. van Ginneken,et al.  Buffer placement in distributed RC-tree networks for minimal Elmore delay , 1990 .

[4]  Yao-Wen Chang,et al.  Spare-cell-aware multilevel analytical placement , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[5]  Jason Cong,et al.  FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  Frank M. Johannes,et al.  Technology mapping for minimizing gate and routing area , 1998, Proceedings Design, Automation and Test in Europe.

[7]  Igor L. Markov,et al.  Automating Postsilicon Debugging and Repair , 2008, Computer.

[8]  Weiping Shi,et al.  An O(mn) time algorithm for optimal buffer insertion of nets with m sinks , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[9]  Shih-Chieh Chang,et al.  Spare Cells With Constant Insertion for Engineering Change , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Igor L. Markov,et al.  SafeResynth: A new technique for physical synthesis , 2008, Integr..

[11]  Malgorzata Marek-Sadowska,et al.  Pre-layout wire length and congestion estimation , 2004, Proceedings. 41st Design Automation Conference, 2004..

[12]  Robert K. Brayton,et al.  Area and search space control for technology mapping , 2000, Proceedings 37th Design Automation Conference.

[13]  Davide Pandini,et al.  Congestion-aware logic synthesis , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[14]  Jiang Hu,et al.  Porosity-aware buffered Steiner tree construction , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Ieee Circuits,et al.  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems information for authors , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Igor L. Markov,et al.  Reap what you sow: spare cells for post-silicon metal fix , 2008, ISPD '08.

[17]  Malgorzata Marek-Sadowska,et al.  Wire length prediction-based technology mapping and fanout optimization , 2005, ISPD '05.

[18]  Davide Pandini,et al.  Understanding and addressing the impact of wiring congestion during technology mapping , 2002, ISPD '02.

[19]  Weiping Shi,et al.  An O(nlogn) time algorithm for optimal buffer insertion , 2003, DAC '03.

[20]  Hai Zhou,et al.  An efficient buffer insertion algorithm for large networks based on Lagrangian relaxation , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

[21]  Y. Matsunaga On accelerating pattern matching for technology mapping , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[22]  Igor L. Markov,et al.  Automating post-silicon debugging and repair , 2007, ICCAD 2007.

[23]  Chih-Wei Chang,et al.  A Metal-Only-ECO Solver for Input-Slew and Output-Loading Violations , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Malgorzata Marek-Sadowska,et al.  Engineering change using spare cells with constant insertion , 2007, ICCAD.

[25]  Artur Balasinski Optimization of sub-100-nm designs for mask cost reduction , 2004 .

[26]  Jiang Hu,et al.  Path-Based Buffer Insertion , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Malgorzata Marek-Sadowska,et al.  ECO-Map: Technology remapping for post-mask ECO using simulated annealing , 2008, 2008 IEEE International Conference on Computer Design.

[28]  Sachin S. Sapatnekar,et al.  Technology mapping algorithm targeting routing congestion under delay constraints , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  Sachin S. Sapatnekar,et al.  Accurate estimation of global buffer delay within a floorplan , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[30]  Wei Chen,et al.  Concurrent logic restructuring and placement for timing closure , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[31]  Gi-Joon Nam,et al.  Techniques for Fast Physical Synthesis , 2007, Proceedings of the IEEE.

[32]  Yao-Wen Chang,et al.  ECO timing optimization using spare cells , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[33]  Weiping Shi,et al.  Buffer insertion in large circuits with constructive solution search techniques , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[34]  K. Keutzer DAGON: Technology Binding and Local Optimization by DAG Matching , 1987, 24th ACM/IEEE Design Automation Conference.

[35]  Yosinori Watanabe,et al.  Logic decomposition during technology mapping , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[36]  Masami Murakata,et al.  Layout driven re-synthesis for low power consumption LSIs , 1997, DAC.

[37]  Massoud Pedram,et al.  Layout driven technology mapping , 1991, 28th ACM/IEEE Design Automation Conference.

[38]  Massoud Pedram,et al.  A near optimal algorithm for technology mapping minimizing area under delay constraints , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[39]  Iris Hui-Ru Jiang,et al.  Matching-based minimum-cost spare cell selection for design changes , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[40]  Jiang Hu,et al.  Porosity-aware buffered Steiner tree construction , 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[41]  Adnan Aziz,et al.  Meeting delay constraints in DSM by minimal repeater insertion , 2000, DATE '00.

[42]  Min Zhao,et al.  A new structural pattern matching algorithm for technology mapping , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[43]  Robert K. Brayton,et al.  Delay-optimal technology mapping by DAG covering , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[44]  TingTing Hwang,et al.  New spare cell design for IR drop minimization in Engineering Change Order , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[45]  Shih-Chieh Chang,et al.  Re-synthesis for delay variation tolerance , 2004, Proceedings. 41st Design Automation Conference, 2004..

[46]  Alan Mishchenko,et al.  A new-enhanced constructive decomposition and mapping algorithm , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).