On a New Mechanism of Trigger Generation for Post-Silicon Debugging
暂无分享,去创建一个
[1] Srinivasan Venkataramanan,et al. Using PSL / Sugar for Formal and Dynamic Verification 2 nd Edition Guide to Property Specification Language for Assertion-Based Verification , 2004 .
[2] Qiang Xu,et al. Trace signal selection for visibility enhancement in post-silicon validation , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[3] Valeria Bertacco. Post-silicon debugging for multi-core designs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[4] Sandeep Kumar Goel,et al. Design for debug: catching design errors in digital chips , 2002, IEEE Design & Test of Computers.
[5] Valeria Bertacco,et al. Simulation-based signal selection for state restoration in silicon debug , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[6] Zeljko Zilic,et al. An infrastructure for debug using clusters of assertion-checkers , 2012, Microelectron. Reliab..
[7] Kwang-Ting Cheng,et al. A case study of Time-Multiplexed Assertion Checking for post-silicon debugging , 2010, 2010 IEEE International High Level Design Validation and Test Workshop (HLDVT).
[8] Zeljko Zilic,et al. Generating Hardware Assertion Checkers , 2008 .
[9] Hsiu-Ming Chang,et al. Time-Multiplexed Online Checking , 2011, IEEE Transactions on Computers.
[10] Valery Sklyarov,et al. Synthesis and Implementation of Hierarchical Finite State Machines with Implicit Modules , 2010, 2010 International Conference on Reconfigurable Computing and FPGAs.
[11] Bart Vermeulen,et al. Silicon debug: scan chains alone are not enough , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).
[12] Nicola Nicolici,et al. Automated Trace Signals Identification and State Restoration for Improving Observability in Post-Silicon Validation , 2008, 2008 Design, Automation and Test in Europe.
[13] Avi Ziv,et al. Checking architectural outputs instruction-by-instruction on acceleration platforms , 2012, DAC Design Automation Conference 2012.
[14] Nicola Nicolici,et al. Mapping Trigger Conditions onto Trigger Units during Post-silicon Validation and Debugging , 2012, IEEE Transactions on Computers.
[15] Zeljko Zilic,et al. Incorporating efficient assertion checkers into hardware emulation , 2005, 2005 International Conference on Computer Design.
[16] Bart Vermeulen,et al. Integration of Hardware Assertions in Systems-on-Chip , 2008, 2008 IEEE International Test Conference.
[17] Bob Bentley,et al. Validating the Intel(R) Pentium(R) 4 microprocessor , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[18] Zeljko Zilic,et al. Hierarchical Embedded Logic Analyzer for Accurate Root-Cause Analysis , 2011, 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems.
[19] Nicola Nicolici,et al. On using lossless compression of debug data in embedded logic analysis , 2007, 2007 IEEE International Test Conference.
[20] Zeljko Zilic,et al. Assertion Checkers in Verification, Silicon Debug and In-Field Diagnosis , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[21] Valeria Bertacco,et al. Post-silicon bug diagnosis with inconsistent executions , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[22] Zeljko Zilic,et al. Enabling efficient post-silicon debug by clustering of hardware-assertions , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[23] Michael S. Hsiao,et al. Using Non-trivial Logic Implications for Trace Buffer-Based Silicon Debug , 2009, 2009 Asian Test Symposium.
[24] Gérard Memmi,et al. A reconfigurable design-for-debug infrastructure for SoCs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[25] Nicola Nicolici,et al. Resource-Efficient Programmable Trigger Units for Post-Silicon Validation , 2009, 2009 14th IEEE European Test Symposium.
[26] Steven J. E. Wilton,et al. Concentrator access networks for programmable logic cores on SoCs , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[27] Srikanth Vijayaraghavan,et al. A practical guide for system Verilog assertions , 2005 .
[28] B. Vermeulen,et al. Core-based scan architecture for silicon debug , 2002, Proceedings. International Test Conference.
[29] Zeljko Zilic,et al. Debug enhancements in assertion-checker generation , 2007, IET Comput. Digit. Tech..
[30] Zeljko Zilic,et al. Adding Debug Enhancements to Assertion Checkers for Hardware Emulation and Silicon Debug , 2006, 2006 International Conference on Computer Design.
[31] Zeljko Zilic,et al. Generating Hardware Assertion Checkers: For Hardware Verification, Emulation, Post-Fabrication Debugging and On-Line Monitoring , 2008 .
[32] Subhasish Mitra,et al. Post-Silicon Bug Localization in Processors Using Instruction Footprint Recording and Analysis (IFRA) , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[33] I. Skliarova,et al. Design and implementation of parallel hierarchical finite state machines , 2008, 2008 Second International Conference on Communications and Electronics.
[34] Valeria Bertacco,et al. Reversi: Post-silicon validation system for modern microprocessors , 2008, 2008 IEEE International Conference on Computer Design.
[35] Zeljko Zilic,et al. Hierarchical trigger generation for post-silicon debugging , 2011, Proceedings of 2011 International Symposium on VLSI Design, Automation and Test.
[36] Valery Sklyarov. Hierarchical finite-state machines and their use for digital control , 1999, IEEE Trans. Very Large Scale Integr. Syst..
[37] Zeljko Zilic,et al. Efficient Automata-Based Assertion-Checker Synthesis of PSL Properties , 2006, 2006 IEEE International High Level Design Validation and Test Workshop.