Reducing Aging Effects on Ternary CAM
暂无分享,去创建一个
[1] Enrico Macii,et al. Cache aging reduction with improved performance using dynamically re-sizable cache , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[2] E. Seevinck,et al. Static-noise margin analysis of MOS SRAM cells , 1987 .
[3] Enrico Macii,et al. Energy/Lifetime Cooptimization by Cache Partitioning With Graceful Performance Degradation , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] Hiroto Yasuura,et al. Short Term Cell-Flipping Technique for Mitigating SNM Degradation Due to NBTI , 2011, IEICE Trans. Electron..
[5] K. Pagiamtzis,et al. Content-addressable memory (CAM) circuits and architectures: a tutorial and survey , 2006, IEEE Journal of Solid-State Circuits.
[6] B.C. Paul,et al. Impact of NBTI on the temporal performance degradation of digital circuits , 2005, IEEE Electron Device Letters.
[7] Yu Cao,et al. Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[8] Pradip Bose,et al. A Proactive Wearout Recovery Approach for Exploiting Microarchitectural Redundancy to Extend Cache SRAM Lifetime , 2008, 2008 International Symposium on Computer Architecture.
[9] S. Mahapatra,et al. Recent Issues in Negative-Bias Temperature Instability: Initial Degradation, Field Dependence of Interface Trap Generation, Hole Trapping Effects, and Relaxation , 2007, IEEE Transactions on Electron Devices.
[10] E. Cartier,et al. Threshold voltage instabilities in high-/spl kappa/ gate dielectric stacks , 2005, IEEE Transactions on Device and Materials Reliability.
[11] Kaushik Roy,et al. Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using IDDQ , 2007, 2007 IEEE International Test Conference.
[12] Sudhanva Gurumurthi,et al. Recovery Boosting: A Technique to Enhance NBTI Recovery in SRAM Arrays , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.
[13] Hao-I Yang,et al. Impacts of NBTI/PBTI on Timing Control Circuits and Degradation Tolerant Design in Nanoscale CMOS SRAM , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.
[14] Kaushik Roy,et al. Negative Bias Temperature Instability: Estimation and Design for Improved Reliability of Nanoscale Circuits , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[15] Yu Wang,et al. Leakage Power and Circuit Aging Cooptimization by Gate Replacement Techniques , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[16] Tao Jin,et al. Low power aging-aware register file design by duty cycle balancing , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[17] David Z. Pan,et al. Controlling NBTI degradation during static burn-in testing , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[18] C. Cabral,et al. A Comparative Study of NBTI and PBTI (Charge Trapping) in SiO2/HfO2 Stacks with FUSI, TiN, Re Gates , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..
[19] Devavrat Shah,et al. Fast Updating Algorithms for TCAMs , 2001, IEEE Micro.
[20] Yu Cao,et al. Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology , 2007, IEEE Transactions on Device and Materials Reliability.
[21] Sachin S. Sapatnekar,et al. Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[22] Hiroto Yasuura,et al. Signal probability control for relieving NBTI in SRAM cells , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[23] Mohamed I. Elmasry,et al. Adaptive Body Bias for Reducing the Impacts of NBTI and Process Variations on 6T SRAM Cells , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.
[24] Konstantina Papagiannaki,et al. Capturing router congestion and delay , 2009, TNET.