An area efficient fully monolithic hybrid voltage regulator

A hybrid voltage regulator module for an on-chip DC-DC voltage converter is proposed in this paper. The circuit is appropriate for point-of-load voltage regulation due to an ultra area efficient architecture. The proposed voltage regulator is a hybrid combination of a switching DC-DC voltage converter and a low-dropout regulator exploiting active circuitry rather than bulky passive devices within the filter structure. The proposed circuit can supply over 100 mA current while generating 0.9 volts from a 1.2 input voltage, exhibiting a high current efficiency of greater than 99%. The on-chip area is 0.026 mm2 which is 500 times smaller than a monolithic buck converter and four times smaller than an LDO. The proposed regulator provides a means for distributing multiple local power supplies across an integrated circuit while providing high current efficiency.

[1]  Gobind Daryanani,et al.  Principles of Active Network Synthesis and Design , 1976 .

[2]  Avinoam Kolodny,et al.  Effective Radii of On-Chip Decoupling Capacitors , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Vivek De,et al.  Analysis of buck converters for on-chip integration with a dual supply voltage microprocessor , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[4]  Le-Ren Chang-Chien,et al.  Active Filter Based On-Chip Step-Down DC-DC Switching Voltage Regulator , 2005, TENCON 2005 - 2005 IEEE Region 10 Conference.

[5]  K. Leung,et al.  A capacitor-free CMOS low-dropout regulator with damping-factor-control frequency compensation , 2003, IEEE J. Solid State Circuits.

[6]  Eby G. Friedman,et al.  Multi-Voltage CMOS Circuit Design: Kursun/Multi-Voltage CMOS Circuit Design , 2006 .

[7]  Larry D. Smith,et al.  Power distribution system design methodology and capacitor selection for modern CMOS technology , 1999 .

[8]  R. Sallen,et al.  A practical method of designing RC active filters , 1955, IRE Transactions on Circuit Theory.

[9]  Eby G. Friedman,et al.  Multi-voltage CMOS Circuit Design , 2006 .

[10]  David A. Johns,et al.  Analog Integrated Circuit Design , 1996 .

[11]  T. Karnik,et al.  Area-efficient linear regulator with ultra-fast load regulation , 2005, IEEE Journal of Solid-State Circuits.

[12]  Eby G. Friedman,et al.  Power Distribution Networks with On-Chip Decoupling Capacitors , 2007 .

[13]  Mohammad A. Al-Shyoukh,et al.  A Transient-Enhanced Low-Quiescent Current Low-Dropout Regulator With Buffer Impedance Attenuation , 2007, IEEE Journal of Solid-State Circuits.