Towards Analysing the Effect of Hybrid Caches on the Temperature of Tiled Chip Multi-Processors

Recent advances in CMOS technology adds more transistors to the chip that are utilised for improving processing capability by adding multiple processing components. These multiple cores raise the data demands leading to larger on-chip caches. Together, these add to the energy consumption as well as heat dissipation. Increase in chip temperature requires efficient cooling mechanisms as high temperatures can damage the onchip circuitry. Thus, the performance enhancement comes at the cost of higher power budget as well as temperature. Large onchip caches occupy significant area of the chip and are major contributors to leakage energy. It is known that as technology scales leakage becomes a prominent component which also affects the chip temperature. This paper aims to control the chip temperature by controlling the leakage energy dissipated by the last level caches (LLCs). Towards this we propose a hybrid LLC that uses a combination of SRAM cache banks and non-volatile memory (NVM) technology based STT-RAM banks. STT-RAM technology has the advantage of high density and low leakage.We demonstrate that low-leakage STT-RAM banks help in reducing the temperature of the tile in which they are located and it also assists in reducing the average chip temperature. Experimental evaluation on an isoarea and iso-capacity architecture that uses a hybrid LLC shows reduction the average chip temperature as well as gives gains in static energy and EDP compared to baseline architecture.

[1]  Kevin Skadron,et al.  HotSpot 6.0: Validation, Acceleration and Extension , 2015 .

[2]  N. Muralimanohar,et al.  CACTI 6 . 0 : A Tool to Understand Large Caches , 2007 .

[3]  Chong-Min Kyung,et al.  Runtime 3-D stacked cache data management for energy minimization of 3-D chip-multiprocessors , 2014, Fifteenth International Symposium on Quality Electronic Design.

[4]  Yehea I. Ismail,et al.  Thermal Management of On-Chip Caches Through Power Density Minimization , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Ing-Chao Lin,et al.  High-Endurance Hybrid Cache Design in CMP Architecture With Cache Partitioning and Access-Aware Policies , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Mahmood Fathy,et al.  Energy efficient 3D Hybrid processor-memory architecture for the dark silicon age , 2015, 2015 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC).

[8]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[9]  Hemangee K. Kapoor,et al.  Targeting inter set write variation to improve the lifetime of non-volatile cache using fellow sets , 2017, 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

[10]  Xiaoxia Wu,et al.  Hybrid cache architecture with disparate memory technologies , 2009, ISCA '09.

[11]  Kyriakos Stavrou,et al.  TSIC: Thermal Scheduling Simulator for Chip Multiprocessors , 2005, Panhellenic Conference on Informatics.

[12]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[13]  Kaushik Roy,et al.  Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED '00.

[14]  Shirshendu Das,et al.  Dynamic Thermal Management by Using Task Migration in Conjunction with Frequency Scaling for Chip Multiprocessors , 2018, 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID).

[15]  Houman Homayoun,et al.  Hot peripheral thermal management to mitigate cache temperature variation , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[16]  Kinam Kim,et al.  Bi-layered RRAM with unlimited endurance and extremely uniform switching , 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.

[17]  Sparsh Mittal,et al.  A survey of architectural techniques for improving cache power efficiency , 2014, Sustain. Comput. Informatics Syst..

[18]  Mahmut T. Kandemir,et al.  Leakage Current: Moore's Law Meets Static Power , 2003, Computer.

[19]  Sharad Malik,et al.  Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[20]  Kevin Skadron,et al.  Recent thermal management techniques for microprocessors , 2012, CSUR.

[21]  Hemangee K. Kapoor,et al.  Towards a Better Lifetime for Non-volatile Caches in Chip Multiprocessors , 2017, 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID).

[22]  Sarma B. K. Vrudhula,et al.  Maximizing performance of thermally constrained multi-core processors by dynamic voltage and frequency control , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[23]  Xueti Tang,et al.  Spin-transfer torque magnetic random access memory (STT-MRAM) , 2013, JETC.

[24]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[25]  Alex Orailoglu,et al.  Performance and energy efficient cache migrationapproach for thermal management in embedded systems , 2010, GLSVLSI '10.

[26]  Huazhong Yang,et al.  Performance/Thermal-Aware Design of 3D-Stacked L2 Caches for CMPs , 2012, TODE.

[27]  Alessandro Bardine,et al.  Analysis of static and dynamic energy consumption in NUCA caches: initial results , 2007, MEDEA '07.