Pathways to efficient neuromorphic computing with non-volatile memory technologies
暂无分享,去创建一个
K. Roy | Akhilesh R. Jaiswal | I. Chakraborty | S. Gupta | S. Gupta | A. Jaiswal | S. K. Gupta | I. Chakraborty | A. K. Saha | K. Roy | I. Chakraborty | A. Jaiswal | Atanu K. Saha | Kaushik Roy | A. K. Saha
[1] Igor Zutic,et al. Roadmap for Emerging Materials for Spintronic Device Applications , 2015, IEEE Transactions on Magnetics.
[2] Kaushik Roy,et al. Magnetic Skyrmion as a Spintronic Deep Learning Spiking Neuron Processor , 2018, IEEE Transactions on Magnetics.
[3] Wolfgang Maass,et al. Networks of Spiking Neurons: The Third Generation of Neural Network Models , 1996, Electron. Colloquium Comput. Complex..
[4] D. Ielmini,et al. Physical interpretation, modeling and impact on phase change memory (PCM) reliability of resistance drift due to chalcogenide structural relaxation , 2007, 2007 IEEE International Electron Devices Meeting.
[5] Doo Seok Jeong,et al. Leaky Integrate-and-Fire Neuron Circuit Based on Floating-Gate Integrator , 2016, Front. Neurosci..
[6] J. W. Brown. Thermal Fluctuations of a Single-Domain Particle , 1963 .
[7] H. Hwang,et al. Analog memory and spike-timing-dependent plasticity characteristics of a nanoscale titanium oxide bilayer resistive switching device , 2011, Nanotechnology.
[8] A. Pirovano,et al. Low-field amorphous state resistance and threshold voltage drift in chalcogenide materials , 2004, IEEE Transactions on Electron Devices.
[9] R. Douglas,et al. A silicon neuron , 1991, Nature.
[10] Damien Querlioz,et al. Impact of PCM resistance-drift in neuromorphic systems and drift-mitigation strategy , 2013, 2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).
[11] Olivier Bichler,et al. Phase change memory as synapse for ultra-dense neuromorphic systems: Application to complex visual pattern extraction , 2011, 2011 International Electron Devices Meeting.
[12] A S Spinelli,et al. Memristive neural network for on-line learning and tracking with brain-inspired spike timing dependent plasticity , 2017, Scientific Reports.
[13] Marian Stamp Dawkins,et al. The Noisy Brain: Stochastic Dynamics as a Principle of Brain Function The Noisy Brain: Stochastic Dynamics as a Principle of Brain Function. By Edmund T. Rolls & Gustavo Deco. Oxford: Oxford University Press (2010). Pp. 310. Price £37.95 hardback. , 2010, Animal Behaviour.
[14] Kaushik Roy,et al. SPINDLE: SPINtronic Deep Learning Engine for large-scale neuromorphic computing , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[15] Kaushik Roy,et al. Encoding Neural and Synaptic Functionalities in Electron Spin: A Pathway to Efficient Neuromorphic Computing , 2017, ArXiv.
[16] Farnood Merrikh-Bayat,et al. Efficient training algorithms for neural networks based on memristive crossbar circuits , 2015, 2015 International Joint Conference on Neural Networks (IJCNN).
[17] Atanu K. Saha,et al. Modeling and Comparative Analysis of Hysteretic Ferroelectric and Anti-ferroelectric FETs , 2018, 2018 76th Device Research Conference (DRC).
[18] Yoon-Ha Jeong,et al. Scalable Neuron Circuit Using Conductive-Bridge RAM for Pattern Reconstructions , 2016, IEEE Transactions on Electron Devices.
[19] Greg Atwood,et al. A multilevel-cell 32 Mb flash memory , 2000, Proceedings 30th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2000).
[20] Kaushik Roy,et al. Hybrid Spintronic-CMOS Spiking Neural Network With On-Chip Learning: Devices, Circuits and Systems , 2015, ArXiv.
[21] Jiaming Zhang,et al. Analogue signal and image processing with large memristor crossbars , 2017, Nature Electronics.
[22] R. Fowler,et al. Electron Emission in Intense Electric Fields , 1928 .
[23] Bin Gao,et al. Fully hardware-implemented memristor convolutional neural network , 2020, Nature.
[24] Damien Querlioz,et al. Vowel recognition with four coupled spin-torque nano-oscillators , 2017, Nature.
[25] G. Bi,et al. Synaptic Modifications in Cultured Hippocampal Neurons: Dependence on Spike Timing, Synaptic Strength, and Postsynaptic Cell Type , 1998, The Journal of Neuroscience.
[26] 曹逊. Forming-free colossal resistive switching effect in rare-earth-oxide Gd2O3 films for memristor applications , 2009 .
[27] Suman Datta,et al. Phase field modeling of domain dynamics and polarization accumulation in ferroelectric HZO , 2019, Applied Physics Letters.
[28] Parami Wijesinghe,et al. An All-Memristor Deep Spiking Neural Computing System: A Step Toward Realizing the Low-Power Stochastic Brain , 2017, IEEE Transactions on Emerging Topics in Computational Intelligence.
[29] Adnan Mehonic,et al. Emulating the Electrical Activity of the Neuron Using a Silicon Oxide RRAM Cell , 2016, Front. Neurosci..
[30] Hong Wang,et al. Loihi: A Neuromorphic Manycore Processor with On-Chip Learning , 2018, IEEE Micro.
[31] Farnood Merrikh-Bayat,et al. Self-Adaptive Spike-Time-Dependent Plasticity of Metal-Oxide Memristors , 2015, Scientific Reports.
[32] Indranil Chakraborty,et al. Technology Aware Training in Memristive Neuromorphic Systems for Nonideal Synaptic Crossbars , 2017, IEEE Transactions on Emerging Topics in Computational Intelligence.
[33] Yiran Chen,et al. Reduction and IR-drop compensations techniques for reliable neuromorphic computing systems , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[34] Xiaochen Peng,et al. XNOR-RRAM: A scalable and parallel resistive synaptic architecture for binary neural networks , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[35] Tao Zhang,et al. PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
[36] Gopalakrishnan Srinivasan,et al. Training Deep Spiking Convolutional Neural Networks With STDP-Based Unsupervised Pre-training Followed by Supervised Fine-Tuning , 2018, Front. Neurosci..
[37] Pritish Narayanan,et al. Equivalent-accuracy accelerated neural-network training using analogue memory , 2018, Nature.
[38] Wolfgang Maass,et al. Bayesian Computation Emerges in Generic Cortical Microcircuits through Spike-Timing-Dependent Plasticity , 2013, PLoS Comput. Biol..
[39] Paolo Fantini,et al. Unsupervised Learning by Spike Timing Dependent Plasticity in Phase Change Memory (PCM) Synapses , 2016, Front. Neurosci..
[40] Evangelos Eleftheriou,et al. Detecting Correlations Using Phase-Change Neurons and Synapses , 2016, IEEE Electron Device Letters.
[41] E. Vianello,et al. Bio-Inspired Stochastic Computing Using Binary CBRAM Synapses , 2013, IEEE Transactions on Electron Devices.
[42] G. Shepherd. The Synaptic Organization of the Brain , 1979 .
[43] Shimeng Yu,et al. Ferroelectric FET analog synapse for acceleration of deep neural network training , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).
[44] M. Trentzsch,et al. A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).
[45] X. Miao,et al. Ultrafast Synaptic Events in a Chalcogenide Memristor , 2013, Scientific Reports.
[46] Kaushik Roy,et al. Probabilistic Deep Spiking Neural Systems Enabled by Magnetic Tunnel Junction , 2016, IEEE Transactions on Electron Devices.
[47] Kuk-Hwan Kim,et al. Crossbar RRAM Arrays: Selector Device Requirements During Read Operation , 2014, IEEE Transactions on Electron Devices.
[48] Stefan Slesazeck,et al. Mimicking biological neurons with a nanoscale ferroelectric transistor. , 2018, Nanoscale.
[49] C. D. Geisler,et al. A stochastic model of the repetitive activity of neurons. , 1966, Biophysical journal.
[50] Paul E. Hasler,et al. Single Transistor Learning Synapses , 1994, NIPS.
[51] Wenrui Zhang,et al. Hybrid Macro/Micro Level Backpropagation for Training Deep Spiking Neural Networks , 2018, NeurIPS.
[52] Yu Wang,et al. Energy Efficient RRAM Spiking Neural Network for Real Time Classification , 2015, ACM Great Lakes Symposium on VLSI.
[53] Shimeng Yu,et al. Stochastic learning in oxide binary synaptic device for neuromorphic computing , 2013, Front. Neurosci..
[54] U-In Chung,et al. Multi-level switching of triple-layered TaOx RRAM with excellent reliability for storage class memory , 2012, 2012 Symposium on VLSI Technology (VLSIT).
[55] Abhronil Sengupta,et al. A Vision for All-Spin Neural Networks: A Device to System Perspective , 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.
[56] Jacques-Olivier Klein,et al. Spin-Transfer Torque Magnetic Memory as a Stochastic Memristive Synapse for Neuromorphic Systems , 2015, IEEE Transactions on Biomedical Circuits and Systems.
[57] Shimeng Yu,et al. Mitigating effects of non-ideal synaptic device characteristics for on-chip learning , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[58] W. Senn,et al. Convergence of stochastic learning in perceptrons with binary synapses. , 2005, Physical review. E, Statistical, nonlinear, and soft matter physics.
[59] Kaushik Roy,et al. Rx-Caffe: Framework for evaluating and training Deep Neural Networks on Resistive Crossbars , 2018, ArXiv.
[60] T. Bliss,et al. A synaptic model of memory: long-term potentiation in the hippocampus , 1993, Nature.
[61] Matthew Cook,et al. Fast-classifying, high-accuracy spiking deep networks through weight and threshold balancing , 2015, 2015 International Joint Conference on Neural Networks (IJCNN).
[62] Chung Lam,et al. Brain-like associative learning using a nanoscale non-volatile phase change synaptic device array , 2014, Front. Neurosci..
[63] Wofgang Maas,et al. Networks of spiking neurons: the third generation of neural network models , 1997 .
[64] Yong Liu,et al. Specifications of Nanoscale Devices and Circuits for Neuromorphic Computational Systems , 2013, IEEE Transactions on Electron Devices.
[65] Dejan S. Milojicic,et al. PUMA: A Programmable Ultra-efficient Memristor-based Accelerator for Machine Learning Inference , 2019, ASPLOS.
[66] M. Wuttig,et al. Phase-change materials for rewriteable data storage. , 2007, Nature materials.
[67] Chung Lam,et al. Experimental demonstration of array-level learning with phase change synaptic devices , 2013, 2013 IEEE International Electron Devices Meeting.
[68] Lei Deng,et al. Spatio-Temporal Backpropagation for Training High-Performance Spiking Neural Networks , 2017, Front. Neurosci..
[69] Geoffrey E. Hinton,et al. Deep Learning , 2015, Nature.
[70] J. Feldmann,et al. All-optical spiking neurosynaptic networks with self-learning capabilities , 2019, Nature.
[71] Richard C. Atkinson,et al. Human Memory: A Proposed System and its Control Processes , 1968, Psychology of Learning and Motivation.
[72] Xiao-Jing Wang,et al. Spike-Frequency Adaptation of a Generalized Leaky Integrate-and-Fire Model Neuron , 2004, Journal of Computational Neuroscience.
[73] Shimeng Yu,et al. On the stochastic nature of resistive switching in metal oxide RRAM: Physical modeling, monte carlo simulation, and experimental characterization , 2011, 2011 International Electron Devices Meeting.
[74] David A. Patterson,et al. In-datacenter performance analysis of a tensor processing unit , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
[75] Catherine Graves,et al. Dot-product engine for neuromorphic computing: Programming 1T1M crossbar to accelerate matrix-vector multiplication , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[76] Bernabé Linares-Barranco,et al. On Practical Issues for Stochastic STDP Hardware With 1-bit Synaptic Weights , 2018, Front. Neurosci..
[77] Tae-Sung Jung,et al. A 3.3 V 128 Mb multi-level NAND flash memory for mass storage applications , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[78] Kaushik Roy,et al. Spin-Transfer Torque Memories: Devices, Circuits, and Systems , 2016, Proceedings of the IEEE.
[79] Shoji Ikeda,et al. Magnetic tunnel junction for nonvolatile CMOS logic , 2010, 2010 International Electron Devices Meeting.
[80] Manuel Le Gallo,et al. Stochastic phase-change neurons. , 2016, Nature nanotechnology.
[81] Andrew S. Cassidy,et al. Convolutional networks for fast, energy-efficient neuromorphic computing , 2016, Proceedings of the National Academy of Sciences.
[82] Kaushik Roy,et al. Going Deeper in Spiking Neural Networks: VGG and Residual Architectures , 2018, Front. Neurosci..
[83] M. Bibes,et al. Multiferroics: towards a magnetoelectric memory. , 2008, Nature materials.
[84] E. Eleftheriou,et al. Demonstration of Reliable Triple-Level-Cell (TLC) Phase-Change Memory , 2016, 2016 IEEE 8th International Memory Workshop (IMW).
[85] T. Hasegawa,et al. Sensory and short-term memory formations observed in a Ag2S gap-type atomic switch , 2011 .
[86] Mengwei Si,et al. First Demonstration of Ge Ferroelectric Nanowire FET as Synaptic Device for Online Learning in Neural Network with High Number of Conductance State and Gmax/Gmin , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).
[87] N. Awaya,et al. Novel colossal magnetoresistive thin film nonvolatile resistance random access memory (RRAM) , 2002, Digest. International Electron Devices Meeting,.
[88] G. W. Burr,et al. Experimental demonstration and tolerancing of a large-scale neural network (165,000 synapses), using phase-change memory as the synaptic weight element , 2015, 2014 IEEE International Electron Devices Meeting.
[89] Kwabena Boahen,et al. Point-to-point connectivity between neuromorphic chips using address events , 2000 .
[90] D. Querlioz,et al. Visual Pattern Extraction Using Energy-Efficient “2-PCM Synapse” Neuromorphic Architecture , 2012, IEEE Transactions on Electron Devices.
[91] J. Slonczewski. Current-driven excitation of magnetic multilayers , 1996 .
[92] Kaushik Roy,et al. Proposal for a Leaky-Integrate-Fire Spiking Neuron Based on Magnetoelectric Switching of Ferromagnets , 2016, IEEE Transactions on Electron Devices.
[93] Yong Zhang,et al. A Reconfigurable Digital Neuromorphic Processor with Memristive Synaptic Crossbar for Cognitive Computing , 2015, ACM J. Emerg. Technol. Comput. Syst..
[94] H.-S. Philip Wong,et al. Energy efficient programming of nanoelectronic synaptic devices for large-scale implementation of associative and temporal sequence learning , 2011, 2011 International Electron Devices Meeting.
[95] Ali Khiat,et al. Emulating short-term synaptic dynamics with memristive devices , 2015, Scientific Reports.
[96] Harish Bhaskaran,et al. On-chip photonic synapse , 2017, Science Advances.
[97] Ali Khiat,et al. Unsupervised learning in probabilistic neural networks with multi-state metal-oxide memristive synapses , 2016, Nature Communications.
[98] Tobi Delbrück,et al. Training Deep Spiking Neural Networks Using Backpropagation , 2016, Front. Neurosci..
[99] Cheol Seong Hwang,et al. Short-term memory of TiO2-based electrochemical capacitors: empirical analysis with adoption of a sliding threshold , 2013, Nanotechnology.
[100] Shih-Chii Liu,et al. Conversion of Continuous-Valued Deep Networks to Efficient Event-Driven Networks for Image Classification , 2017, Front. Neurosci..
[101] Newton Howard. Energy Paradox of the Brain , 2012 .
[102] Sankar K. Pal,et al. Multilayer perceptron, fuzzy sets, and classification , 1992, IEEE Trans. Neural Networks.
[103] Geoffrey E. Hinton,et al. Learning representations by back-propagating errors , 1986, Nature.
[104] Siddharth Joshi,et al. Stochastic Synapses Enable Efficient Brain-Inspired Learning Machines , 2015, Front. Neurosci..
[105] Bing J. Sheu,et al. Analog floating-gate synapses for general-purpose VLSI neural computation , 1991 .
[106] Chenchen Liu,et al. Rescuing memristor-based neuromorphic design with high defects , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[107] Yusuf Leblebici,et al. Neuromorphic computing with multi-memristive synapses , 2017, Nature Communications.
[108] D. Stewart,et al. The missing memristor found , 2008, Nature.
[109] Anand Raghunathan,et al. Computing in Memory With Spin-Transfer Torque Magnetic RAM , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[110] S. Sze,et al. A floating gate and its application to memory devices , 1967 .
[111] M. Lenzlinger,et al. Fowler‐Nordheim Tunneling into Thermally Grown SiO2 , 1969 .
[112] Z. Wei,et al. Highly reliable TaOx ReRAM and direct evidence of redox reaction mechanism , 2008, 2008 IEEE International Electron Devices Meeting.
[113] Kaushik Roy,et al. Proposal for an All-Spin Artificial Neural Network: Emulating Neural and Synaptic Functionalities Through Domain Wall Motion in Ferromagnets , 2015, IEEE Transactions on Biomedical Circuits and Systems.
[114] C. Stevens,et al. Facilitation and depression at single central synapses , 1995, Neuron.
[115] N. Yamada,et al. Structures of stable and metastable Ge2Sb2Te5, an intermetallic compound in GeTe-Sb2Te3 pseudobinary systems. , 2004, Acta crystallographica. Section B, Structural science.
[116] A. Hill. Excitation and Accommodation in Nerve , 1936 .
[117] R. Jordan,et al. NVM neuromorphic core with 64k-cell (256-by-256) phase change memory synaptic array with on-chip neuron circuits for continuous in-situ learning , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).
[118] Yiran Chen,et al. Accelerator-friendly neural-network training: Learning variations and defects in RRAM crossbar , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[119] Titash Rakshit,et al. A Multi-Bit Neuromorphic Weight Cell Using Ferroelectric FETs, suitable for SoC Integration , 2018, IEEE Journal of the Electron Devices Society.
[120] Hiroshi Nakamura,et al. 7.2 4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).
[121] Ya-Chin King,et al. Point twin-bit RRAM in 3D interweaved cross-point array by Cu BEOL process , 2014, 2014 IEEE International Electron Devices Meeting.
[122] Youguang Zhang,et al. Stochastic spintronic device based synapses and spiking neurons for neuromorphic computation , 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).
[123] S. Tam,et al. An electrically trainable artificial neural network (ETANN) with 10240 'floating gate' synapses , 1990, International 1989 Joint Conference on Neural Networks.
[124] Shimeng Yu,et al. A Phenomenological Model for the Reset Mechanism of Metal Oxide RRAM , 2010, IEEE Electron Device Letters.
[125] Kaushik Roy,et al. Magnetic Tunnel Junction Mimics Stochastic Cortical Spiking Neurons , 2015, Scientific Reports.
[126] Zhengya Zhang,et al. A fully integrated reprogrammable memristor–CMOS system for efficient multiply–accumulate operations , 2019, Nature Electronics.
[127] Narayan Srinivasa,et al. A functional hybrid memristor crossbar-array/CMOS system for data storage and neuromorphic applications. , 2012, Nano letters.
[128] C. Gerber,et al. Reproducible switching effect in thin oxide films for memory applications , 2000 .
[129] Ojas Parekh,et al. Energy Scaling Advantages of Resistive Memory Crossbar Based Computation and Its Application to Sparse Coding , 2016, Front. Neurosci..
[130] Y Suzuki,et al. Micromagnetic understanding of current-driven domain wall motion in patterned nanowires , 2005 .
[131] Paul E. Hasler,et al. Floating Gate Synapses With Spike-Time-Dependent Plasticity , 2011, IEEE Transactions on Biomedical Circuits and Systems.
[132] H. Ohno,et al. Tunnel magnetoresistance of 604% at 300K by suppression of Ta diffusion in CoFeB∕MgO∕CoFeB pseudo-spin-valves annealed at high temperature , 2008 .
[133] Xuanyao Fong,et al. R-MRAM: A ROM-Embedded STT MRAM Cache , 2013, IEEE Electron Device Letters.
[134] Ajay K. Royyuru,et al. Blue Gene: A vision for protein science using a petaflop supercomputer , 2001, IBM Syst. J..
[135] G. Beach,et al. Current-driven dynamics of chiral ferromagnetic domain walls. , 2013, Nature materials.
[136] Meng-Fan Chang,et al. 15.4 A 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit MAC Computing for Tiny AI Edge Devices , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).
[137] Masakazu Aono,et al. Synaptic plasticity and memory functions achieved in a WO3−x-based nanoionics device by using the principle of atomic switch operation , 2013, Nanotechnology.
[138] Yiran Chen,et al. A novel architecture of the 3D stacked MRAM L2 cache for CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[139] Kaushik Roy,et al. RESPARC: A reconfigurable and energy-efficient architecture with Memristive Crossbars for deep Spiking Neural Networks , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[140] Weidong Yu,et al. Forming-free colossal resistive switching effect in rare-earth-oxide Gd2O3 films for memristor applications , 2009 .
[141] Kaushik Roy,et al. Magnetic Tunnel Junction Based Long-Term Short-Term Stochastic Synapse for a Spiking Neural Network with On-Chip STDP Learning , 2016, Scientific Reports.
[142] J. Kim,et al. Neuromorphic speech systems using advanced ReRAM-based synapse , 2013, 2013 IEEE International Electron Devices Meeting.
[143] Kentaro Kinoshita,et al. Direct observation of oxygen movement during resistance switching in NiO/Pt film , 2008 .
[144] Sarma B. K. Vrudhula,et al. Demonstration of spike timing dependent plasticity in CBRAM devices with silicon neurons , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).
[145] C. Gerber,et al. Current-driven insulator–conductor transition and nonvolatile memory in chromium-doped SrTiO3 single crystals , 2001 .
[146] Mohammed A. Zidan,et al. Parasitic Effect Analysis in Memristor-Array-Based Neuromorphic Systems , 2018, IEEE Transactions on Nanotechnology.
[147] Byoungil Lee,et al. Nanoelectronic programmable synapses based on phase change materials for brain-inspired computing. , 2012, Nano letters.
[148] Kaushik Roy,et al. Stochastic Spiking Neural Networks Enabled by Magnetic Tunnel Junctions: From Nontelegraphic to Telegraphic Switching Regimes , 2017 .
[149] Simone Balatti,et al. A 2-transistor/1-resistor artificial synapse capable of communication and stochastic learning in neuromorphic systems , 2015, Front. Neurosci..
[150] M. Lenzlinger,et al. Fowler-Nordheim tunneling into thermally grown SiO 2 , 1968 .
[151] U. Ganguly,et al. PCMO RRAM for Integrate-and-Fire Neuron in Spiking Neural Networks , 2018, IEEE Electron Device Letters.
[152] Byung Joon Choi,et al. Identification of a determining parameter for resistive switching of TiO2 thin films , 2005 .
[153] Supriyo Datta,et al. Low-Barrier Magnet Design for Efficient Hardware Binary Stochastic Neurons , 2019, IEEE Magnetics Letters.
[154] H. Kim,et al. RRAM-based synapse for neuromorphic system with pattern recognition function , 2012, 2012 International Electron Devices Meeting.
[155] Gert Cauwenberghs,et al. Memristors Empower Spiking Neurons With Stochasticity , 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[156] Kaushik Roy,et al. Voltage-Driven Domain-Wall Motion based Neuro-Synaptic Devices for Dynamic On-line Learning , 2017 .
[157] Ali Farhadi,et al. XNOR-Net: ImageNet Classification Using Binary Convolutional Neural Networks , 2016, ECCV.
[158] Jong-Wook Park,et al. A 3.3 V 128 Mb multi-level NAND flash memory for mass storage applications , 1996 .
[159] W. Regehr,et al. Short-term synaptic plasticity. , 2002, Annual review of physiology.
[160] Indranil Chakraborty,et al. Toward Fast Neural Computing using All-Photonic Phase Change Spiking Neurons , 2018, Scientific Reports.
[161] K. Roy,et al. Spin-Based Neuron Model With Domain-Wall Magnets as Synapse , 2012, IEEE Transactions on Nanotechnology.
[162] Shih-Chii Liu,et al. Temporally learning floating-gate VLSI synapses , 2008, 2008 IEEE International Symposium on Circuits and Systems.
[163] L. Chua. Memristor-The missing circuit element , 1971 .
[164] Tuo-Hung Hou,et al. 3D synaptic architecture with ultralow sub-10 fJ energy per spike for neuromorphic computation , 2014, 2014 IEEE International Electron Devices Meeting.
[165] H. Mulaosmanovic,et al. Novel ferroelectric FET based synapse for neuromorphic systems , 2017, 2017 Symposium on VLSI Technology.
[166] Indranil Chakraborty,et al. Photonic In-Memory Computing Primitive for Spiking Neural Networks Using Phase-Change Materials , 2019, Physical Review Applied.
[167] Geoffrey E. Hinton,et al. Rectified Linear Units Improve Restricted Boltzmann Machines , 2010, ICML.
[168] Miao Hu,et al. ISAAC: A Convolutional Neural Network Accelerator with In-Situ Analog Arithmetic in Crossbars , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
[169] L. F Abbott,et al. Lapicque’s introduction of the integrate-and-fire model neuron (1907) , 1999, Brain Research Bulletin.
[170] L. Goux,et al. Evidences of oxygen-mediated resistive-switching mechanism in TiN\HfO2\Pt cells , 2010 .
[171] Kaushik Roy,et al. Short-Term Plasticity and Long-Term Potentiation in Magnetic Tunnel Junctions: Towards Volatile Synapses , 2015, ArXiv.
[172] Stefan Slesazeck,et al. Accumulative Polarization Reversal in Nanoscale Ferroelectric Transistors. , 2018, ACS applied materials & interfaces.
[173] B. Rajendran,et al. Arbitrary Spike Time Dependent Plasticity (STDP) in Memristor by Analog Waveform Engineering , 2017, IEEE Electron Device Letters.
[174] Jung-Hyun Lee,et al. Electrical manipulation of nanofilaments in transition-metal oxides for resistance-based memory. , 2009, Nano letters.
[175] A. Hodgkin,et al. A quantitative description of membrane current and its application to conduction and excitation in nerve , 1952, The Journal of physiology.
[176] Byoungil Lee,et al. Resistance and Threshold Switching Voltage Drift Behavior in Phase-Change Memory and Their Temperature Dependence at Microsecond Time Scales Studied Using a Micro-Thermal Stage , 2011, IEEE Transactions on Electron Devices.
[177] Wei Yang Lu,et al. Nanoscale memristor device as synapse in neuromorphic systems. , 2010, Nano letters.
[178] Norikatsu Takaura,et al. Superlattice Phase Change Memory Fabrication Process for Back End of Line Devices , 2013 .
[179] Kaushik Roy,et al. ReStoCNet: Residual Stochastic Binary Convolutional Spiking Neural Network for Memory-Efficient Neuromorphic Computing , 2019, Front. Neurosci..
[180] D. Ielmini,et al. Recovery and Drift Dynamics of Resistance and Threshold Voltages in Phase-Change Memories , 2007, IEEE Transactions on Electron Devices.
[181] Andrew S. Cassidy,et al. A million spiking-neuron integrated circuit with a scalable communication network and interface , 2014, Science.