A Survey of Chip-level Thermal Simulators

Thermal modeling and simulation have become imperative in recent years owing to the increased power density of high performance microprocessors. Temperature is a first-order design criteria, and hence special consideration has to be given to it in every stage of the design process. If not properly accounted for, temperature can have disastrous effects on the performance of the chip, often leading to failure. To streamline research efforts, there is a strong need for a comprehensive survey of the techniques and tools available for thermal simulation. This will help new researchers entering the field to quickly familiarize themselves with the state of the art and enable existing researchers to further improve upon their proposed techniques. In this article, we present a survey of the package level thermal simulation techniques developed over the past two decades.

[1]  Sung-Mo Kang,et al.  Fast Evaluation Method for Transient Hot Spots in VLSI ICs in Packages , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[2]  Jose Renau,et al.  SOI, interconnect, package, and mainboard thermal characterization , 2009, ISLPED.

[3]  Nobuto Ono,et al.  On-Chip Thermal Gradient Analysis and Temperature Flattening for SoC Design , 2005, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

[4]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[5]  Yong Zhan,et al.  Fast computation of the temperature distribution in VLSI chips using the discrete cosine transform and table look-up , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[6]  Kaustav Banerjee,et al.  Effects of non-uniform substrate temperature on the clock signal integrity in high performance designs , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[7]  Israel Koren,et al.  Simulated Annealing Based Temperature Aware Floorplanning , 2007, J. Low Power Electron..

[8]  David Atienza,et al.  Fast thermal simulation of 2D/3D integrated circuits exploiting neural networks and GPUs , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[9]  Gabriel H. Loh,et al.  Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

[10]  Gokhan Memik,et al.  Machine Learning-Based Temperature Prediction for Runtime Thermal Management Across System Components , 2018, IEEE Transactions on Parallel and Distributed Systems.

[11]  Shahin Nazarian,et al.  Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods , 2006, Proceedings of the IEEE.

[12]  Siddharth Garg,et al.  Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations , 2011, 2011 Design, Automation & Test in Europe.

[13]  Li Shang,et al.  ThermalScope: Multi-scale thermal analysis for nanometer-scale integrated circuits , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[14]  Ali Soleimani,et al.  Novel Feature Selection Algorithm for Thermal Prediction Model , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Sung-Mo Kang,et al.  Temperature-Aware Placement for SOCs , 2006, Proceedings of the IEEE.

[16]  Kevin Skadron,et al.  HotSpot 6.0: Validation, Acceleration and Extension , 2015 .

[17]  Smruti R. Sarangi,et al.  LightSim: A leakage aware ultrafast temperature simulator , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[18]  Amir Zjajo,et al.  Thermal analysis of 3D integrated circuits based on discontinuous Galerkin finite element method , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[19]  Martin A. Riedmiller,et al.  Rprop - Description and Implementation Details , 1994 .

[20]  Sung-Mo Kang,et al.  ILLIADS-T: an electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[21]  Ping-Chung Li,et al.  Electromigration: the time bomb in deep-submicron ICs , 1996 .

[22]  Sheldon X.-D. Tan,et al.  Parameterized architecture-level dynamic thermal models for multicore microprocessors , 2010, TODE.

[23]  Chia-Lin Yang,et al.  Thermal modeling for 3D-ICs with integrated microchannel cooling , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[24]  Li Shang,et al.  3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[25]  Sachin S. Sapatnekar,et al.  Fast Poisson solvers for thermal analysis , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[26]  Li Shang,et al.  ISAC: Integrated Space-and-Time-Adaptive Chip-Package Thermal Analysis , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Sachin S. Sapatnekar,et al.  High-Efficiency Green Function-Based Thermal Simulation Algorithms , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Sheldon X.-D. Tan,et al.  A fast full-chip static power estimation method , 2016, 2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

[29]  Sung-Mo Kang,et al.  ILLIADS: a fast timing and reliability simulator for digital MOS circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[30]  David Atienza,et al.  Modeling and dynamic management of 3D multicore systems with liquid cooling , 2009, 2009 17th IFIP International Conference on Very Large Scale Integration (VLSI-SoC).

[31]  Jun Yang,et al.  Thermal Management for 3D Processors via Task Scheduling , 2008, 2008 37th International Conference on Parallel Processing.

[32]  Siddharth Garg,et al.  Statistical Peak Temperature Prediction and Thermal Yield Improvement for 3D Chip Multiprocessors , 2014, TODE.

[33]  J. Crank,et al.  A practical method for numerical evaluation of solutions of partial differential equations of the heat-conduction type , 1947, Mathematical Proceedings of the Cambridge Philosophical Society.

[34]  Sachin S. Sapatnekar,et al.  Thermally-Aware Design , 2008 .

[35]  David Atienza,et al.  3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[36]  Zhuo Feng,et al.  Fast thermal analysis on GPU for 3D-ICs with integrated microchannel cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[37]  P. R. Gray,et al.  Computer simulation of integrated circuits in the presence of electrothermal interaction , 1976 .

[38]  David J. Allstot,et al.  Electrothermal simulation of integrated circuits , 1993 .

[39]  Kevin Skadron,et al.  HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[40]  Li Shang,et al.  Multiscale Thermal Analysis for Nanometer-Scale Integrated Circuits , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[41]  Yusuf Leblebici,et al.  Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[42]  Narayanan Vijaykrishnan,et al.  Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[43]  Anneli Folkesson,et al.  Numerical methods for engineers , 2007 .

[44]  Narayanan Vijaykrishnan,et al.  Thermal-aware IP virtualization and placement for networks-on-chip architecture , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[45]  David Atienza,et al.  Neural network based on-chip thermal simulator , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[46]  Gabriela Nicolescu,et al.  A linear-time approach for the transient thermal simulation of liquid-cooled 3D ICs , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[47]  Pinaki Mazumder,et al.  Accelerated Chip-Level Thermal Analysis Using Multilayer Green's Function , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[48]  C. O. Chui,et al.  Variability Impact of Random Dopant Fluctuation on Nanoscale Junctionless FinFETs , 2012, IEEE Electron Device Letters.

[49]  D. Vasileska,et al.  Electrothermal Studies of FD SOI Devices That Utilize a New Theoretical Model for the Temperature and Thickness Dependence of the Thermal Conductivity , 2010, IEEE Transactions on Electron Devices.

[50]  David Atienza,et al.  Neural Network-Based Thermal Simulation of Integrated Circuits on GPUs , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[51]  Anantha Chandrakasan,et al.  Three-dimensional integrated circuits: performance, design methodology, and CAD tools , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

[52]  Sheldon X.-D. Tan,et al.  A Fast Leakage-Aware Full-Chip Transient Thermal Estimation Method , 2018, IEEE Transactions on Computers.

[53]  Ankur Srivastava,et al.  Optimized Micro-Channel Design for Stacked 3-D-ICs , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[54]  Sachin S. Sapatnekar,et al.  A high efficiency full-chip thermal simulation algorithm , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[55]  Todd M. Austin,et al.  The SimpleScalar tool set, version 2.0 , 1997, CARN.

[56]  J. A. Kuznecov Elements of applied bifurcation theory , 1998 .

[57]  Sani R. Nassif,et al.  Full chip leakage estimation considering power supply and temperature variations , 2003, ISLPED '03.

[58]  Nobuto Ono,et al.  On-chip thermal gradient analysis and temperature flattening for SoC design , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[59]  R. Reif,et al.  Thermal analysis of three-dimensional (3-D) integrated circuits (ICs) , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).

[60]  Sheldon X.-D. Tan,et al.  Full-chip thermal analysis of 3D ICs with liquid cooling by GPU-accelerated GMRES method , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[61]  H. Vinke,et al.  A novel approach for the thermal characterization of electronic parts , 1995, Proceedings of 1995 IEEE/CPMT 11th Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM).

[62]  Gabriela Nicolescu,et al.  Efficient transient thermal simulation of 3D ICs with liquid-cooling and through silicon vias , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[63]  Jose Renau,et al.  Power model validation through thermal measurements , 2007, ISCA '07.

[64]  Non-contact thermal conductivity measurements of p-doped and n-doped gold covered natural and isotopically-pure silicon and their oxides , 2004, 5th International Conference on Thermal and Mechanical Simulation and Experiments in Microelectronics and Microsystems, 2004. EuroSimE 2004. Proceedings of the.

[65]  Yu Hen Hu,et al.  3DFFT: Thermal Analysis of Non-Homogeneous IC Using 3D FFT Green Function Method , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[66]  Sung-Mo Kang,et al.  Power Blurring: Fast Static and Transient Thermal Analysis Method for Packaged Integrated Circuits and Power Devices , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[67]  P. Lettieri,et al.  An introduction to heat transfer , 2007 .

[68]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[69]  J. Black,et al.  Electromigration—A brief survey and some recent results , 1969 .

[70]  M. Asadzadeh An Introduction to the Finite Element Method (FEM) for Differential Equations , 2009 .

[71]  Sheldon X.-D. Tan,et al.  Parallel Thermal Analysis of 3-D Integrated Circuits With Liquid Cooling on CPU-GPU Platforms , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[72]  Xuan Zeng,et al.  An efficient leakage-aware thermal simulation approach for 3D-ICs using corrected linearized model and algebraic multigrid , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[73]  Narayanan Vijaykrishnan,et al.  Thermal-aware floorplanning using genetic algorithms , 2005, Sixth international symposium on quality electronic design (isqed'05).

[74]  R. F. Warming,et al.  Radiative transport and wall temperature slip in an absorbing planar medium , 1965 .

[75]  Gamal Refai-Ahmed,et al.  High-Efficiency Transient Temperature Calculations for Applications in Dynamic Thermal Management of Electronic Devices , 2013 .

[76]  E. H. Sondheimer,et al.  The mean free path of electrons in metals , 2001 .

[77]  Diana Marculescu,et al.  A learning-based autoregressive model for fast transient thermal analysis of chip-multiprocessors , 2012, 17th Asia and South Pacific Design Automation Conference.

[78]  David Atienza,et al.  3D-ICE: A Compact Thermal Model for Early-Stage Design of Liquid-Cooled ICs , 2014, IEEE Transactions on Computers.

[79]  Kaustav Banerjee,et al.  Multiple Si layer ICs: motivation, performance analysis, and design implications , 2000, Proceedings 37th Design Automation Conference.

[80]  Chip-Hong Chang,et al.  Thermal simulator of 3D-IC with modeling of anisotropic TSV conductance and microchannel entrance effects , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[81]  Sheldon X.-D. Tan,et al.  Architecture-Level Thermal Characterization for Multicore Microprocessors , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[82]  Smruti R. Sarangi,et al.  A fast leakage aware thermal simulator for 3D chips , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[83]  Israel Koren,et al.  TILTS: A Fast Architectural-Level Transient Thermal Simulation Method , 2007, J. Low Power Electron..

[84]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[85]  Sung Kyu Lim,et al.  Thermal Characterization of Interlayer Microfluidic Cooling of Three-Dimensional Integrated Circuits With Nonuniform Heat Flux , 2010 .

[86]  Frederic T. Chong,et al.  Fighting fire with fire: Modeling the datacenter-scale effects of targeted superlattice thermal management , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[87]  Y. Notay An aggregation-based algebraic multigrid method , 2010 .

[88]  Gabriela Nicolescu,et al.  Explicit transient thermal simulation of liquid-cooled 3D ICs , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[89]  Charlie Chung-Ping Chen,et al.  3D thermal-ADI: an efficient chip-level transient thermal simulator , 2003, ISPD '03.

[90]  Kevin Skadron,et al.  Differentiating the roles of IR measurement and simulation for power and temperature-aware design , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

[91]  Lawrence T. Pileggi,et al.  Efficient full-chip thermal modeling and analysis , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[92]  Ümit Y. Ogras,et al.  Predictive dynamic thermal and power management for heterogeneous mobile platforms , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[93]  Li Shang,et al.  Accurate Temperature-Dependent Integrated Circuit Leakage Power Estimation is Easy , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[94]  Kaustav Banerjee,et al.  Analysis and optimization of thermal issues in high-performance VLSI , 2001, ISPD '01.

[95]  M. Kleiner,et al.  Thermal analysis of vertically integrated circuits , 1995, Proceedings of International Electron Devices Meeting.

[96]  A. Majumdar Microscale Heat Conduction in Dielectric Thin Films , 1993 .