Simultaneous gate sizing and placement

This paper presents an iterative optimization technique for improving delay in integrated circuits. The basic idea is to perform timing analysis to identify the set of k most-critical paths in the circuit followed by cell resizing and replacement along the critical path set and their neighboring cells. The process is repeated until no further reduction in circuit delay is possible. At the core of this technique lies a mathematical formulation for simultaneous cell sizing and placement subject to timing and position constraints. We show that the resulting problem formulation is a generalized geometric program, which can be solved by solving a sequence of geometric programs. Experimental results on a set of benchmark circuits demonstrate the effectiveness of our approach compared to the conventional approaches which separate gate sizing from gate placement.

[1]  Jinan Lou,et al.  A simultaneous routing tree construction and fanout optimization algorithm , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[2]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Martin D. F. Wong,et al.  Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[4]  Weitong Chuang,et al.  Delay and area optimization for compact placement by gate resizing and relocation , 1994, ICCAD.

[5]  Fan Chung Graham,et al.  The largest minimal rectilinear steiner trees for a set of n points enclosed in a rectangle with given perimeter , 1979, Networks.

[6]  Massoud Pedram,et al.  An exact solution to simultaneous technology mapping and linear placement problem , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[7]  R. Dembo,et al.  Solution of Generalized Geometric Programs , 1975 .

[8]  Jochen A. G. Jess,et al.  Gate sizing in MOS digital circuits with linear programming , 1990, Proceedings of the European Design Automation Conference, 1990., EDAC..

[9]  R. A. Cuninghame-Green,et al.  Applied geometric programming , 1976 .

[10]  Olivier Coudert,et al.  New algorithms for gate sizing: a comparative study , 1996, DAC '96.

[11]  Pak K. Chan Algorithms for library-specific sizing of combinational logic , 1991, DAC '90.

[12]  Mrcm Michel Berkelaar Area-power-delay trade-off in logic synthesis , 1992 .

[13]  Bernhard M. Riess,et al.  SPEED: fast and efficient timing driven placement , 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

[14]  Yinyu Ye,et al.  An infeasible interior-point algorithm for solving primal and dual geometric programs , 1997, Math. Program..

[15]  R. Duffin Linearizing Geometric Programs , 1970 .