Tier Degradation of Monolithic 3-D ICs: A Power Performance Study at Different Technology Nodes

Monolithic 3-D ICs (M3-D ICs) offer extremely high vertical interconnection density, significantly improving the power-performance envelope when compared to conventional 2-D ICs. However, process limitations lead to one tier having either degraded transistors or interconnects. This paper models the amount of degradation that can be expected at current and future nodes (45, 22, and 10 nm), develops a process development kit using these models to enable evaluation, and presents a block-level M3-D IC RTL-to-GDSII flow that is capable of mitigating some of this degradation. Experimental results indicate that at lower technology nodes, M3-D ICs offer more benefits. Results also indicate that the impact of transistor degradation is diminished at lower technology nodes while the impact of interconnect degradation becomes worse. Overall, perfect M3-D ICs close more than half the gap in the power-performance envelope between 2-D ICs and the “ideal” block-level design. While degraded tiers reduce the benefit of M3-D ICs, our degradation-aware floorplanner gives back up to 17% of the loss, and helps to obtain significant overall benefits compared to 2-D ICs.

[1]  Trevor Mudge,et al.  Centip3De: A 64-core, 3D stacked, near-threshold system , 2012 .

[2]  Martin D. F. Wong,et al.  Optimal redistribution of white space for wire length minimization , 2005, ASP-DAC.

[3]  Igor L. Markov,et al.  Assembling 2-D Blocks Into 3-D Chips , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Siddharth Garg,et al.  3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs , 2009, 2009 10th International Symposium on Quality Electronic Design.

[5]  W. Steinhögl,et al.  Size-dependent resistivity of metallic wires in the mesoscopic range , 2002 .

[6]  Rasit Onur Topaloglu Applications driving 3D integration and 1 corresponding manufacturing challenges , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  P.D. Franzon,et al.  System Design for 3D Multi-FPGA Packaging , 2007, 2007 IEEE Electrical Performance of Electronic Packaging.

[8]  Giovanni De Micheli,et al.  CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[9]  Manfred Engelhardt,et al.  Tungsten interconnects in the nano-scale regime , 2005 .

[10]  Chang-Soo Kim,et al.  Electron mean free path of tungsten and the electrical resistivity of epitaxial (110) tungsten films , 2012 .

[11]  Manfred Engelhardt,et al.  Impact of line edge roughness on the resistivity of nanometer-scale interconnects , 2004 .

[12]  Sung Kyu Lim,et al.  Block-level 3D IC design with through-silicon-via planning , 2012, 17th Asia and South Pacific Design Automation Conference.

[13]  Robert C. Aitken,et al.  Physical design and FinFETs , 2014, ISPD '14.

[14]  Sani R. Nassif Modeling and forecasting of manufacturing variations , 2000, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489.

[15]  John J. Plombon,et al.  Influence of phonon, geometry, impurity, and grain size on Copper line resistivity , 2006 .

[16]  Kinam Kim,et al.  A 500-MHz DDR High-Performance 72-Mb 3-D SRAM Fabricated With Laser-Induced Epitaxial c-Si Growth Technology for a Stand-Alone and Embedded Memory Application , 2010, IEEE Transactions on Electron Devices.

[17]  Shekhar Y. Borkar 3D integration for energy efficient system design , 2006, 2009 Symposium on VLSI Technology.

[18]  Ruchir Puri,et al.  Design, CAD and technology challenges for future processors: 3D perspectives , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[19]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[20]  Sung Kyu Lim,et al.  Power benefit study of monolithic 3D IC at the 7nm technology node , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[21]  Paul D. Franzon,et al.  3D Specific Systems: Design and CAD , 2011, Asian Test Symposium.

[22]  Sung Kyu Lim,et al.  Design and CAD methodologies for low power gate-level monolithic 3D ICs , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[23]  Sung Kyu Lim,et al.  High-density integration of functional modules using monolithic 3D-IC technology , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[24]  Hyejeong Hong,et al.  Process variation-aware floorplanning for 3D many-core processors , 2012, 2012 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS).

[25]  Sheqin Dong,et al.  Simultaneous buffer and interlayer via planning for 3D floorplanning , 2009, 2009 10th International Symposium on Quality Electronic Design.

[26]  Gerald Gabriel Lopez,et al.  The impact of interconnect process variations and size effects for gigascale integration , 2009 .

[27]  B. Rajendran,et al.  Low Thermal Budget Processing for Sequential 3-D IC Fabrication , 2007, IEEE Transactions on Electron Devices.

[28]  Keith A. Bowman,et al.  Optimal n-tier multilevel interconnect architectures for gigascale integration (GSI) , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[29]  S. Wu,et al.  World's first monolithic 3D-FPGA with TFT SRAM over 90nm 9 layer Cu CMOS , 2010, 2010 Symposium on VLSI Technology.

[30]  Ting-Chi Wang,et al.  Through-Silicon Via Planning in 3-D Floorplanning , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[31]  Yu Cao,et al.  Design benchmarking to 7nm with FinFET predictive technology models , 2012, ISLPED '12.

[32]  Thomas Ernst,et al.  3-D Sequential Integration: A Key Enabling Technology for Heterogeneous Co-Integration of New Function With CMOS , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[33]  C. Ortolland,et al.  Laser-annealed junctions with advanced CMOS gate stacks for 32nm Node: Perspectives on device performance and manufacturability , 2008, 2008 Symposium on VLSI Technology.

[34]  Qiaosha Zou,et al.  Cost-driven 3D design optimization with metal layer reduction technique , 2013, International Symposium on Quality Electronic Design (ISQED).

[35]  Narayanan Vijaykrishnan,et al.  Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).