Generalized Power-Delay Metrics in Deep Submicron CMOS Designs
暂无分享,去创建一个
[1] Kaushik Roy,et al. Dynamic VTH Scaling Scheme for Active Leakage Power Reduction , 2002, DATE.
[2] B. M. Gordon,et al. Supply and threshold voltage scaling for low power CMOS , 1997, IEEE J. Solid State Circuits.
[3] David Blaauw,et al. Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment , 2004, Proceedings. 41st Design Automation Conference, 2004..
[4] Kaushik Roy. Leakage power reduction in low-voltage CMOS designs , 1998, 1998 IEEE International Conference on Electronics, Circuits and Systems. Surfing the Waves of Science and Technology (Cat. No.98EX196).
[5] Dragan Maksimovic,et al. Closed-loop adaptive voltage scaling controller for standard-cell ASICs , 2002, ISLPED '02.
[6] Chenming Hu,et al. Modeling gate and substrate currents due to conduction- and valence-band electron and hole tunneling [CMOS technology] , 2000, 2000 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.00CH37104).
[7] Trevor Mudge,et al. Dynamic voltage scaling on a low-power microprocessor , 2001 .
[8] Kaustav Banerjee,et al. Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era , 2004, Proceedings. 41st Design Automation Conference, 2004..
[9] Kaushik Roy,et al. Dynamic V/sub TH/ scaling scheme for active leakage power reduction , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[10] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[11] Dragan Maksimovic,et al. Switching regulator with dynamically adjustable supply voltage for low power VLSI , 2001, IECON'01. 27th Annual Conference of the IEEE Industrial Electronics Society (Cat. No.37243).
[12] J. Shott,et al. A 200 mV self-testing encoder/decoder using Stanford ultra-low-power CMOS , 1994, Proceedings of IEEE International Solid-State Circuits Conference - ISSCC '94.
[13] Hiroshi Kawaguchi,et al. 6-3 Vth-Hopping Scheme for 82% Power Saving in Low-Voltage Processors , 2000 .
[14] Resve Saleh,et al. Analysis and design of digital integrated circuits : in deep submicron technology , 2003 .
[15] R.W. Brodersen,et al. A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.
[16] Anantha Chandrakasan,et al. Optimal supply and threshold scaling for subthreshold CMOS circuits , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[17] Gang Qu,et al. Energy reduction techniques for multimedia applications with tolerance to deadline misses , 2003, DAC.
[18] Luca Benini,et al. A survey of design techniques for system-level dynamic power management , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[19] Johan Pouwelse,et al. Dynamic voltage scaling on a low-power microprocessor , 2001, MobiCom '01.
[20] Trevor Mudge,et al. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.
[21] Krisztián Flautner,et al. IEM926: an energy efficient SoC with dynamic voltage scaling , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[22] Ian O'Connor,et al. Extremely Low-Power Logic , 2004, DATE '04.
[23] Resve A. Saleh,et al. Power-delay metrics revisited for 90 nm CMOS technology , 2005, Sixth international symposium on quality electronic design (isqed'05).
[24] T. Sakurai,et al. V/sub TH/-hopping scheme for 82% power saving in low-voltage processors , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).