Investigation of localized thermal vias for temperature reduction in 3D multicore processors
暂无分享,去创建一个
Andrzej Napieralski | Piotr Zajac | Cezary Maj | Melvin Galicia | A. Napieralski | C. Maj | P. Zając | M. Galicia
[1] Gabriel H. Loh,et al. 3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.
[2] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[3] Jason Cong,et al. Thermal via planning for 3-D ICs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[4] Jie Meng,et al. Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints , 2012, DAC Design Automation Conference 2012.
[5] Sachin S. Sapatnekar,et al. Thermal via placement in 3D ICs , 2005, ISPD '05.
[6] Yusuf Leblebici,et al. Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[7] Yuan Xie,et al. Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.
[8] Andrzej Napieralski,et al. Evaluating the impact of scaling on temperature in FinFET-technology multicore processors , 2014, Microelectron. J..
[9] Stéphan Jourdan,et al. Haswell: The Fourth-Generation Intel Core Processor , 2014, IEEE Micro.
[10] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[11] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[12] Piotr Zajac,et al. Optimizing temperature distribution in modern processors through efficient floorplanning , 2014, 20th International Workshop on Thermal Investigations of ICs and Systems.
[13] Li Shang,et al. Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[14] Gabriel H. Loh,et al. Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.
[15] Sachin S. Sapatnekar,et al. Placement of thermal vias in 3-D ICs using various thermal objectives , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.