A 65 nm CMOS 4-Element Sub-34 mW/Element 60 GHz Phased-Array Transceiver

This paper describes a low power and element-scalable 60 GHz 4-element phased array transceiver implemented in a standard 65 nm CMOS process. Using a 1.2 V supply, the array consumes <;34 mW/element including LO synthesis and distribution. Energy and area efficiency are achieved by utilizing a baseband phase shifting architecture, holistic impedance optimization, and lumped-element based design. Each receiver (RX) element provides 24 dB of gain with an average noise figure (NF) of 6.8 dB while the total saturated output power of the transmitter (TX) is 4.5 dBm. The array achieves 360° of phase shifting range with a worst-case measured phase resolution of 6 bits (TX)/ 5 bits (RX) while maintaining amplitude variations less than ±0.5 dB.

[1]  Ning Zhang,et al.  CMOS frequency generation system for W-band radars , 2009, 2009 Symposium on VLSI Circuits.

[2]  Chung-Yu Wu,et al.  A Phase-Locked Loop With Injection-Locked Frequency Multiplier in 0.18-$\mu{\hbox{m}}$ CMOS for $V$ -Band Applications , 2009, IEEE Transactions on Microwave Theory and Techniques.

[3]  Omar Bakr,et al.  Impact of phase and amplitude errors on array performance , 2009 .

[4]  Kenichi Maruhashi,et al.  TX and RX Front-Ends for 60GHz Band in 90nm Standard Bulk CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[5]  T. Lee,et al.  Superharmonic injection-locked frequency dividers , 1999, IEEE J. Solid State Circuits.

[6]  S. Gambini,et al.  A 90 nm CMOS Low-Power 60 GHz Transceiver With Integrated Baseband Circuitry , 2009, IEEE Journal of Solid-State Circuits.

[7]  D. Parker,et al.  Phased arrays - part 1: theory and architectures , 2002 .

[8]  Alberto Valdes-Garcia,et al.  A SiGe BiCMOS 16-element phased-array transmitter for 60GHz communications , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[9]  B. Gaucher,et al.  A Silicon 60-GHz Receiver and Transmitter Chipset for Broadband Communications , 2006, IEEE Journal of Solid-State Circuits.

[10]  James Parker,et al.  A 60GHz CMOS phased-array transceiver pair for multi-Gb/s wireless communications , 2011, 2011 IEEE International Solid-State Circuits Conference.

[11]  Ali M. Niknejad,et al.  A Robust 24mW 60GHz Receiver in 90nm Standard CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[12]  G.M. Rebeiz,et al.  A Q-band (40–45 GHz) 16-element phased-array transmitter in 0.18-μm SiGe BiCMOS technology , 2008, 2008 IEEE Radio Frequency Integrated Circuits Symposium.

[13]  Piet Wambacq,et al.  A wideband beamformer for a phased-array 60GHz receiver in 40nm digital CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[14]  Ali M. Niknejad,et al.  A 90nm CMOS low-power 60GHz transceiver with integrated baseband circuitry , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[15]  Zhiwei Xu,et al.  A low phase noise, wideband and compact CMOS PLL for use in a heterodyne 802.15.3c TRX , 2010, 2010 Proceedings of ESSCIRC.

[16]  Hong-Yeh Chang,et al.  A 60GHz Low-Power Six-Port Transceiver for Gigabit Software-Defined Transceiver Applications , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[17]  R. Castello,et al.  A 700-kHz bandwidth /spl Sigma//spl Delta/ fractional synthesizer with spurs compensation and linearization techniques for WCDMA applications , 2004, IEEE Journal of Solid-State Circuits.

[18]  Ali Hajimiri,et al.  The class-E/F family of ZVS switching amplifiers , 2003 .

[19]  Duixian Liu,et al.  A 16-element phased-array receiver IC for 60-GHz communications in SiGe BiCMOS , 2010, 2010 IEEE Radio Frequency Integrated Circuits Symposium.

[20]  A. Hajimiri,et al.  A 77-GHz Phased-Array Transceiver With On-Chip Antennas in Silicon: Receiver and Antennas , 2006, IEEE Journal of Solid-State Circuits.

[21]  Shmuel Ravid,et al.  A thirty two element phased-array transceiver at 60GHz with RF-IF conversion block in 90nm flip chip CMOS process , 2010, 2010 IEEE Radio Frequency Integrated Circuits Symposium.

[22]  Ali M. Niknejad,et al.  A 60 GHz high-Q tapered transmission line resonator in 90nm CMOS , 2008, 2008 IEEE MTT-S International Microwave Symposium Digest.