RTL-to-GDS Design Tools for Monolithic 3D ICs
暂无分享,去创建一个
Saibal Mukhopadhyay | Krishnendu Chakrabarty | Sanmitra Banerjee | Sung Kyu Lim | Arjun Chaudhuri | Jinwoo Kim | Daehyun Kim | Gauthaman Murali | Pruek Vanna-Iampikul | Edward Lee
[1] R. M. Mattheyses,et al. A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.
[2] Krishnendu Chakrabarty,et al. Built-in Self-Test for Inter-Layer Vias in Monolithic 3D ICs , 2019, 2019 IEEE European Test Symposium (ETS).
[3] Hai Wei,et al. Monolithic 3D integration: A path from concept to reality , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[4] Anantha Chandrakasan,et al. Modern microprocessor built from complementary carbon nanotube transistors , 2019, Nature.
[5] Sung Kyu Lim,et al. Compact-2D: A Physical Design Methodology to Build Commercial-Quality Face-to-Face-Bonded 3D ICs , 2018, ISPD.
[6] Adam M. Izraelevitz,et al. The Rocket Chip Generator , 2016 .
[7] A. Jutman. Shift register based TPG for at-speed interconnect BIST , 2004, 2004 24th International Conference on Microelectronics (IEEE Cat. No.04TH8716).
[8] Thomas Ernst,et al. 3-D Sequential Integration: A Key Enabling Technology for Heterogeneous Co-Integration of New Function With CMOS , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[9] Abhijit Chatterjee,et al. Switching activity generation with automated BIST synthesis forperformance testing of interconnects , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[10] R. Martel,et al. Carbon nanotube field effect transistors - fabrication, device physics, and circuit implications , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[11] Sung Kyu Lim,et al. Design and CAD methodologies for low power gate-level monolithic 3D ICs , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[12] Sudhakar M. Reddy,et al. Multi-cycle Circuit Parameter Independent ATPG for interconnect open defects , 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).
[13] Arvind,et al. Heterogeneous Integration of BEOL Logic and Memory in a Commercial Foundry: Multi-Tier Complementary Carbon Nanotube Logic and Resistive RAM at a 130 nm node , 2020, 2020 IEEE Symposium on VLSI Technology.
[14] Sung Kyu Lim,et al. Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[15] Krishnendu Chakrabarty,et al. A Design-for-Test Solution Based on Dedicated Test Layers and Test Scheduling for Monolithic 3-D Integrated Circuits , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[16] Kambiz Samadi,et al. 3D VLSI: A Scalable Integration Beyond 2D , 2015, ISPD.