DeBAR: Deflection based adaptive router with minimal buffering
暂无分享,去创建一个
[1] William J. Dally,et al. Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.
[2] Onur Mutlu,et al. A case for bufferless routing in on-chip networks , 2009, ISCA '09.
[3] Shlomo Weiss,et al. Streamlined Network-on-Chip for Multicore Embedded Architectures , 2012, ARCS.
[4] Axel Jantsch,et al. Evaluation of on-chip networks using deflection routing , 2006, GLSVLSI '06.
[5] Andrew B. Kahng,et al. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[6] Kevin Kai-Wei Chang,et al. MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[7] Pedro López,et al. Multi2Sim: A Simulation Framework to Evaluate Multicore-Multithreaded Processors , 2007, 19th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'07).
[8] Sriram R. Vangal,et al. A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.
[9] Chris Fallin,et al. Next generation on-chip networks: what kind of congestion control do we need? , 2010, Hotnets-IX.
[10] John Kim,et al. FlexiBuffer: Reducing leakage power in on-chip network routers , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[11] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[12] José Duato,et al. An Efficient Switching Technique for NoCs with Reduced Buffer Requirements , 2008, 2008 14th IEEE International Conference on Parallel and Distributed Systems.
[13] Axel Jantsch,et al. Load distribution with the proximity congestion awareness in a network on chip , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[14] Henry Hoffmann,et al. Evaluation of the Raw microprocessor: an exposed-wire-delay architecture for ILP and streams , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[15] Chris Fallin,et al. CHIPPER: A low-complexity bufferless deflection router , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[16] Natalie D. Enright Jerger,et al. SCARAB: A single cycle adaptive routing and bufferless network , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[17] Yu Cao,et al. Predictive Technology Model for Nano-CMOS Design Exploration , 2006, Nano-Net.
[18] T. N. Vijaykumar,et al. Adaptive Flow Control for Robust Performance and Energy , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.