Resonant-Clock Latch-Based Design

This paper describes RF1 and RF2, two level-clocked test-chips that deploy resonant clocking to reduce power consumption in their clock distribution networks. It also highlights RCL, a novel resonant-clock latch-based methodology that was used to design the two test-chips. RF1 and RF2 are 8-bit 14-tap finite-impulse response (FIR) filters with identical architectures. Designed using a fully automated ASIC design flow, they have been fabricated in a commercial 0.13 mum bulk silicon process. RF1 operates at clock frequencies in the 0.8-1.2 GHz range and uses a single-phase clocking scheme with a driven clock generator. Resonating its 42 pF clock load at 1.03 GHz with Vdd = 1.13 V, RF1 dissipates 132 mW, achieving a clock power reduction of 76% over conventional switching. RF2 achieves higher clock power efficiency than RF1 by relying on a two-phase clocking scheme with a distributed self-resonant clock generator. Resonating 38 pF of clock load per phase at 1.01 GHz with Vdd = 1.08 V, RF2 dissipates 124 mW and achieves 84% reduction in clock power over conventional switching. At 133 nW/MHz/Tap/InBit/CoeffBit, RF2 features the lowest figure of merit for FIR filters published to date.

[1]  N. Tzartzanis,et al.  A resonant signal driver for two-phase, almost-non-overlapping clocks , 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.

[2]  K. Muhammad,et al.  A 550-MSample/s 8-Tap FIR digital filter for magnetic recording read channels , 2000, IEEE Journal of Solid-State Circuits.

[3]  Visvesh S. Sathe Hybrid resonant -clocked digital design. , 2007 .

[4]  Atila Alvandpour,et al.  1.56 GHz On-chip Resonant Clocking in 130nm CMOS , 2006, IEEE Custom Integrated Circuits Conference 2006.

[5]  Lars Svensson,et al.  A low-power microprocessor based on resonant energy , 1997, IEEE J. Solid State Circuits.

[6]  Marios C. Papaefthymiou,et al.  A 0.8-1.2GHz Single-Phase Resonant-Clocked FIR Filter with Level-Sensitive Latches , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[7]  C.H. Ziesler,et al.  A 225 MHz resonant clocked ASIC chip , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

[8]  K.L. Shepard,et al.  Distributed Differential Oscillators for Global Clock Networks , 2006, IEEE Journal of Solid-State Circuits.

[9]  K.A. Jenkins,et al.  A clock distribution network for microprocessors , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).

[10]  K.L. Shepard,et al.  A 4.6GHz resonant global clock distribution network , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[11]  Alina Deutsch,et al.  Designing the best clock distribution network , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[12]  T.Y. Nguyen,et al.  Resonant clocking using distributed parasitic capacitance , 2004, IEEE Journal of Solid-State Circuits.

[13]  K. Roy,et al.  Computation sharing programmable FIR filter for low-power and high-performance applications , 2004, IEEE Journal of Solid-State Circuits.

[14]  V.S. Sathe,et al.  RF2: A 1GHz FIR Filter with Distributed Resonant Clock Generator , 2007, 2007 IEEE Symposium on VLSI Circuits.

[15]  A. Rylyakov,et al.  A 2.3 GSample/s 10-tap digital FIR filter for magnetic recording read channels , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[16]  Christer Svensson,et al.  High-speed CMOS circuit technique , 1989 .

[17]  Trevor N. Mudge,et al.  CheckT/sub c/ and minT/sub c/: timing verification and optimal clocking of synchronous digital circuits , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.