Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip

Photonic devices are widely used in optical networks-on-chip (ONoCs) and suffer from crosstalk noise. The accumulative crosstalk noise in large scale ONoCs diminishes the signal-to-noise ratio (SNR), causes severe performance degradation, and constrains the network scalability. For the first time, this paper systematically analyzes and models the worst-case crosstalk noise and SNR in folded-torus-based ONoCs. Formal analytical models for the worst-case crosstalk noise and SNR are presented. The crosstalk noise analysis is hierarchically performed at the basic photonic device level, then at the optical router level, and finally at the network level. We consider a general 5 × 5 optical router model to enable crosstalk noise and SNR analyses in folded-torus-based ONoCs using an arbitrary 5 × 5 optical router. Using the general optical router model, the worst-case SNR link candidates, which restrict the network scalability, are found. Also, we present a novel crosstalk noise and loss analysis platform, called CLAP, which can analyze the crosstalk noise and SNR of arbitrary ONoCs. Case studies of optimized crossbar and Crux optical routers using recent photonic device parameters are presented. Moreover, we compare the worst-case crosstalk noise and SNR in folded-torus-based and mesh-based ONoCs using optimized crossbar and Crux optical routers. The quantitative simulation results show the critical behavior of crosstalk noise in large scale ONoCs. For example, in folded-torus-based ONoCs using the Crux optical router, the noise power exceeds the signal power for network sizes larger than 12 × 12; when the network size is 20 × 20 and the injection signal power equals 0 dBm, the signal power and noise power are -9.4 dBm and -6.1 dBm, respectively.

[1]  David Z. Pan,et al.  GLOW: A global router for low-power thermal-reliable interconnect synthesis using photonic wavelength multiplexing , 2012, 17th Asia and South Pacific Design Automation Conference.

[2]  Luca P. Carloni,et al.  Physical-Layer Modeling and System-Level Design of Chip-Scale Photonic Interconnection Networks , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Chyong-Hua Chen,et al.  Taper-Integrated Multimode-Interference Based Waveguide Crossing Design , 2010, IEEE Journal of Quantum Electronics.

[4]  Chin-Tau Lea,et al.  Crosstalk Analysis for Microring Based Optical Interconnection Networks , 2012, Journal of Lightwave Technology.

[5]  Hui Chen,et al.  Low-Loss Multimode-Interference-Based Crossings for Silicon Wire Waveguides , 2006, IEEE Photonics Technology Letters.

[6]  Kaustav Banerjee,et al.  Multiple Si layer ICs: motivation, performance analysis, and design implications , 2000, Proceedings 37th Design Automation Conference.

[7]  Chin-Tau A. Lea,et al.  Strictly nonblocking directional-coupler-based switching networks under crosstalk constraint , 2000, IEEE Trans. Commun..

[8]  Wei Zhang,et al.  Crosstalk noise and bit error rate analysis for optical network-on-chip , 2010, Design Automation Conference.

[9]  Wei Zhang,et al.  A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip , 2012, JETC.

[10]  Xiu-Dong Sun,et al.  Compact and low crosstalk waveguide crossing using impedance matched metamaterial , 2010 .

[11]  Seng-Tiong Ho,et al.  Experimental demonstration and simulation of lossless metal-free integrated elliptical reflectors for waveguide turnings and crossings , 2011, CLEO: 2011 - Laser Science to Photonic Applications.

[12]  F. Xia,et al.  Ultracompact optical buffers on a silicon chip , 2007 .

[13]  Wei Zhang,et al.  Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Eytan Modiano,et al.  Architectures and technologies for high-speed optical data networks , 1998 .

[15]  Arifur Rahman,et al.  System-level performance evaluation of three-dimensional integrated circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[16]  Gui-Rong Zhou,et al.  Design of deeply etched antireflective waveguide terminators , 2003 .

[17]  N. Feng,et al.  Low loss silicon waveguides for application of optical interconnects , 2010, IEEE Photonics Society Summer Topicals 2010.