Scan Shift Power Reduction by Freezing Power Sensitive Scan Cells

In this paper, we show that not every scan cell contributes equally to the power consumption during scan-based test. The transitions at some scan cells cause more toggles at the internal signal lines of a circuit than the transitions at other scan cells. Hence the transitions at these scan cells have a larger impact on the power consumption during test application. We call these scan cells power sensitive scan cells. A signal probability based approach is proposed to identify a set of power sensitive scan cells. Additional hardware is added to freeze the outputs of power sensitive scan cells during scan shifting in order to reduce the shift power consumption. Experimental results on industrial circuits show that on average more than 45% of the scan shift power can be eliminated when freezing only 5% of power sensitive scan cells.

[1]  Kaushik Roy,et al.  Low-power scan design using first-level supply gating , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Sandeep K. Gupta,et al.  DS-LFSR: a BIST TPG for low switching activity , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Bashir M. Al-Hashimi,et al.  Minimisation of power dissipation during test application in full-scan sequential circuits using primary input freezing , 2000 .

[4]  Hans-Joachim Wunderlich,et al.  Minimized Power Consumption for Scan-Based BIST , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[5]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[6]  Irith Pomeranz,et al.  Techniques for minimizing power dissipation in scan and combinational circuits during test application , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Nur A. Touba,et al.  Inserting test points to control peak power during scan testing , 2002, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings..

[8]  Sani R. Nassif,et al.  Testing and debugging delay faults in dynamic circuits , 2005, IEEE International Conference on Test, 2005..

[9]  C. P. Ravikumar,et al.  Partial Gating Optimization for Power Reduction During Test Application , 2005, 14th Asian Test Symposium (ATS'05).

[10]  Atul K. Jain,et al.  Minimizing power consumption in scan testing: pattern generation and DFT techniques , 2004 .

[11]  Lee Whetsel,et al.  Adapting scan architectures for low power operation , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[12]  Kuen-Jong Lee,et al.  Reduction of power consumption in scan-based circuits during testapplication by an input control technique , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Patrick Girard Survey of low-power testing of VLSI circuits , 2002, IEEE Design & Test of Computers.