Lifetime Reliability Optimization for Embedded Systems : A System-Level Approach

This paper presents an automatic reliability-aware system-level design methodology to tolerate hardware defects caused by manufacturing tolerances as well as destructive agents and aging processes at the places of activity of the system components. This is achieved by (1) integrating the capability of a redundant placement of software tasks in an automatic design process to cope with the hardware defects, (2) providing an automatic lifetime reliability analysis to trade off the arising costs in favor of the achieved reliability increase, and (3) proposing a software architecture for the runtime phase. Real-life case studies from the automotive domain illustrate the effectiveness of the proposed techniques. Keywords— Embedded Systems, Lifetime Reliability, Dependability, Analysis, Optimization, Design Space Exploration, System-Level

[1]  Martin Lukasiewycz,et al.  Reliability-Aware System Synthesis , 2007 .

[2]  Mahmut T. Kandemir,et al.  Reliability-centric high-level synthesis , 2005, Design, Automation and Test in Europe.

[3]  Donatella Sciuto,et al.  Reliability Properties Assessment at System Level: A Co-Design Framework , 2002 .

[4]  N Wehn,et al.  A dependability-driven system-level design approach for embedded systems , 2005 .

[5]  Alessandro Birolini Reliability Engineering: Theory and Practice , 1999 .

[6]  Sandeep Neema,et al.  System-level synthesis of adaptive computing systems , 2001 .

[7]  Shuvra S. Bhattacharyya,et al.  CHARMED: a multi-objective co-synthesis framework for multi-mode embedded systems , 2004, Proceedings. 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors, 2004..

[8]  Peter Marwedel,et al.  An Algorithm for Hardware/Software Partitioning Using Mixed Integer Linear Programming , 1997, Des. Autom. Embed. Syst..

[9]  Ramesh Karri,et al.  Transformation-based high-level synthesis of fault-tolerant ASICs , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[10]  Petru Eles,et al.  Synthesis of Fault-Tolerant Schedules with Transparency/Performance Trade-offs for Distributed Embedded Systems , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[11]  David W. Coit,et al.  Reliability optimization of series-parallel systems using a genetic algorithm , 1996, IEEE Trans. Reliab..

[12]  Sofia Cassel,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 2012 .

[13]  Antoine Rauzy,et al.  New algorithms for fault trees analysis , 1993 .

[14]  Lothar Thiele,et al.  Chapter 4 – Design Space Exploration of Network Processor Architectures , 2003 .

[15]  Martin Lukasiewycz,et al.  Efficient symbolic multi-objective design space exploration , 2008, 2008 Asia and South Pacific Design Automation Conference.

[16]  Martin Lukasiewycz,et al.  Symbolic Reliability Analysis and Optimization of ECU Networks , 2008, 2008 Design, Automation and Test in Europe.

[17]  Martin Lukasiewycz,et al.  Incorporating graceful degradation into embedded system design , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[18]  Marco Laumanns,et al.  PISA: A Platform and Programming Language Independent Interface for Search Algorithms , 2003, EMO.

[19]  Ying Zhang,et al.  Energy-aware deterministic fault tolerance in distributed real-time embedded systems , 2004, Proceedings. 41st Design Automation Conference, 2004..

[20]  Petru Eles,et al.  Synthesis of fault-tolerant embedded systems with checkpointing and replication , 2006, Third IEEE International Workshop on Electronic Design, Test and Applications (DELTA'06).

[21]  Marco Laumanns,et al.  Performance assessment of multiobjective optimizers: an analysis and review , 2003, IEEE Trans. Evol. Comput..