VLSI-SoC: Design and Engineering of Electronics Systems Based on New Computing Paradigms: 26th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2018, Verona, Italy, October 8–10, 2018, Revised and Extended Selected Papers

A synthesizable digital LDO implemented with standardcell-based digital design flow is proposed. The difference between output and reference voltages is converted into delay difference using inverter chains as voltage-controlled delay lines, then compared in the timedomain. Since the time-domain difference is straightforwardly captured by a simple DFF-based phase detector, the proposed LDO does not need an analog voltage comparator, which requires careful manual design. All the components in the LDO can be described with Verilog codes based on their specifications, and placed-and-routed with a commercial EDA tool. This automated layout design relaxes the burden and time of implementation, and enhances process portability. The proposed LDO implemented in a 65 nm standard CMOS technology occupies 0.015 mm area. With 10.4 MHz internal clock, the tracking response of the LDO to 200 mV switching in the reference voltage is ∼4.5μs and the transient response to 5 mA change in the load current is ∼6.6μs. At 10 mA load current, the quiescent current consumed by the LDO core is as low as 35.2μA, which leads to 99.6% current efficiency.

[1]  Valentino Peluso,et al.  Energy-Driven Precision Scaling for Fixed-Point ConvNets , 2018, 2018 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

[2]  Léon Bottou,et al.  Large-Scale Machine Learning with Stochastic Gradient Descent , 2010, COMPSTAT.

[3]  Donald Geman,et al.  Coarse-to-Fine Face Detection , 2004, International Journal of Computer Vision.

[4]  Alejandro D. Dominguez-Garcia,et al.  Spoofing GPS Receiver Clock Offset of Phasor Measurement Units , 2013, IEEE Transactions on Power Systems.

[5]  Massimo Alioto,et al.  Energy-Quality Scalable Integrated Circuits and Systems: Continuing Energy Scaling in the Twilight of Moore’s Law , 2018, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[6]  Valentino Peluso,et al.  Scalable-Effort ConvNets for Multilevel Classification , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[7]  Michael J. Rycroft,et al.  Understanding GPS. Principles and Applications , 1997 .

[8]  A. El-Rabbany Introduction to GPS: The Global Positioning System , 2002 .

[9]  Andreas Moshovos,et al.  Bit-Pragmatic Deep Neural Network Computing , 2016, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[10]  Slobodan Pajic,et al.  Power System State Estimation and Contingency Constrained Optimal Power Flow - A Numerically Robust Implementation , 2007 .

[11]  Avesta Sasan,et al.  ICNN: An iterative implementation of convolutional neural networks to enable energy and computational complexity aware dynamic approximation , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[12]  Muhammad Shafique,et al.  Adaptive and Energy-Efficient Architectures for Machine Learning: Challenges, Opportunities, and Research Roadmap , 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[13]  Jason Cong,et al.  Scaling for edge inference of deep neural networks , 2018 .

[14]  Srdjan Capkun,et al.  On the requirements for successful GPS spoofing attacks , 2011, CCS '11.

[15]  Marian Verhelst,et al.  Energy-efficient ConvNets through approximate computing , 2016, 2016 IEEE Winter Conference on Applications of Computer Vision (WACV).

[16]  Marian Verhelst,et al.  5 ENVISION : A 0 . 26-to-10 TOPS / W Subword-Parallel Dynamic-Voltage-Accuracy-Frequency-Scalable Convolutional Neural Network Processor in 28 nm FDSOI , 2017 .

[17]  Michail Maniatakos,et al.  Attacking the smart grid using public information , 2016, 2016 17th Latin-American Test Symposium (LATS).

[18]  Vivienne Sze,et al.  Designing Energy-Efficient Convolutional Neural Networks Using Energy-Aware Pruning , 2016, 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

[19]  Vivienne Sze,et al.  Efficient Processing of Deep Neural Networks: A Tutorial and Survey , 2017, Proceedings of the IEEE.

[20]  Michael S. Bernstein,et al.  ImageNet Large Scale Visual Recognition Challenge , 2014, International Journal of Computer Vision.

[21]  Tara N. Sainath,et al.  Convolutional, Long Short-Term Memory, fully connected Deep Neural Networks , 2015, 2015 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

[22]  Andrea Calimera,et al.  Layer-Wise Compressive Training for Convolutional Neural Networks , 2018, Future Internet.

[23]  Valentino Peluso,et al.  Weak-MAC: Arithmetic Relaxation for Dynamic Energy-Accuracy Scaling in ConvNets , 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

[24]  Robinson Piramuthu,et al.  HD-CNN: Hierarchical Deep Convolutional Neural Networks for Large Scale Visual Recognition , 2014, 2015 IEEE International Conference on Computer Vision (ICCV).

[25]  Marian Verhelst,et al.  An Energy-Efficient Precision-Scalable ConvNet Processor in 40-nm CMOS , 2017, IEEE Journal of Solid-State Circuits.

[26]  H. H. Happ,et al.  Power System Control and Stability , 1979, IEEE Transactions on Systems, Man, and Cybernetics.

[27]  Luca Benini,et al.  GAP-8: A RISC-V SoC for AI at the Edge of the IoT , 2018, 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

[28]  Tara N. Sainath,et al.  FUNDAMENTAL TECHNOLOGIES IN MODERN SPEECH RECOGNITION Digital Object Identifier 10.1109/MSP.2012.2205597 , 2012 .

[29]  Kaushik Roy,et al.  Conditional Deep Learning for energy-efficient and enhanced pattern recognition , 2015, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[30]  Geoffrey E. Hinton,et al.  ImageNet classification with deep convolutional neural networks , 2012, Commun. ACM.

[31]  Ting Liu,et al.  Recent advances in convolutional neural networks , 2015, Pattern Recognit..

[32]  Bo Chen,et al.  MobileNets: Efficient Convolutional Neural Networks for Mobile Vision Applications , 2017, ArXiv.

[33]  Minxuan Zhang,et al.  A Dynamic Multi-precision Fixed-Point Data Quantization Strategy for Convolutional Neural Network , 2016, NCCET.

[34]  Trevor Darrell,et al.  Caffe: Convolutional Architecture for Fast Feature Embedding , 2014, ACM Multimedia.

[35]  Dumitru Erhan,et al.  Going deeper with convolutions , 2014, 2015 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

[36]  Eric Blossom,et al.  GNU radio: tools for exploring the radio frequency spectrum , 2004 .

[37]  Liangzhen Lai,et al.  Enabling Deep Learning at the LoT Edge , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[38]  Michail Maniatakos,et al.  Open Source Intelligence for Energy Sector Cyberattacks , 2019, Advanced Sciences and Technologies for Security Applications.

[39]  David A. Patterson,et al.  In-datacenter performance analysis of a tensor processing unit , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

[40]  Yoshua Bengio,et al.  BinaryConnect: Training Deep Neural Networks with binary weights during propagations , 2015, NIPS.

[41]  Sachin S. Talathi,et al.  Fixed Point Quantization of Deep Convolutional Networks , 2015, ICML.

[42]  Trevor Mudge,et al.  1 A 2 . 9 TOPS / W Deep Convolutional Neural Network SoC in FD-SOI 28 nm for Intelligent Embedded Systems , 2017 .

[43]  N. Amjady,et al.  Transient Stability Prediction by a Hybrid Intelligent System , 2007, IEEE Transactions on Power Systems.

[44]  Pete Warden,et al.  Speech Commands: A Dataset for Limited-Vocabulary Speech Recognition , 2018, ArXiv.

[45]  Yoshua Bengio,et al.  Training deep neural networks with low precision multiplications , 2014 .

[46]  Carey E. Noll,et al.  The crustal dynamics data information system: A resource to support scientific analysis using space geodesy , 2010 .

[47]  Marian Verhelst,et al.  A 0.3–2.6 TOPS/W precision-scalable processor for real-time large-scale ConvNets , 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).

[48]  Luca Benini,et al.  YodaNN: An Architecture for Ultralow Power Binary-Weight CNN Acceleration , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[49]  Guigang Zhang,et al.  Deep Learning , 2016, Int. J. Semantic Comput..

[50]  Alex Krizhevsky,et al.  Learning Multiple Layers of Features from Tiny Images , 2009 .