Processor power estimation techniques: a survey
暂无分享,去创建一个
Smruti R. Sarangi | Hameedah Sultan | Gayathri Ananthanarayanan | S. Sarangi | Gayathri Ananthanarayanan | Hameedah Sultan
[1] Norman P. Jouppi,et al. CACTI 2.0: An Integrated Cache Timing and Power Model , 2002 .
[2] Roland E. Wunderlich,et al. SMARTS: accelerating microarchitecture simulation via rigorous statistical sampling , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[3] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[4] Sharad Malik,et al. Power analysis of embedded software: a first step towards software power minimization , 1994, IEEE Trans. Very Large Scale Integr. Syst..
[5] D. Sarta,et al. A data dependent approach to instruction level power estimation , 1999, Proceedings IEEE Alessandro Volta Memorial Workshop on Low-Power Design.
[6] Mary Jane Irwin,et al. Architecture-level power estimation and design experiments , 2001, TODE.
[7] Lizy Kurian John,et al. Runtime identification of microprocessor energy saving opportunities , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[8] Mahmut T. Kandemir,et al. EAC: a compiler framework for high-level energy estimation and optimization , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[9] Ricardo Bianchini,et al. Code transformations for energy-efficient device management , 2004, IEEE Transactions on Computers.
[10] Margaret Martonosi,et al. Run-time power estimation in high performance microprocessors , 2001, ISLPED '01.
[11] Eric Senn,et al. SoftExplorer: Estimation, Characterization, and Optimization of the Power and Energy Consumption at the Algorithmic Level , 2004, PATMOS.
[12] Pascal Vivet,et al. Power Modeling in SystemC at Transaction Level, Application to a DVFS Architecture , 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.
[13] Sherief Reda,et al. Post-silicon power characterization using thermal infrared emissions , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
[14] Anish Muttreja,et al. Automated Energy/Performance Macromodeling of Embedded Software , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[15] Narayanan Vijaykrishnan,et al. A power estimation methodology for systemC transaction level models , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[16] D. Sciuto,et al. Timing and Energy Estimation of C Programs [SPECIAL ISSUE ON POWER AWARE EMBEDDED COMPUTING] , 2002 .
[17] Mary Jane Irwin,et al. Instruction level power profiling , 1996, 1996 IEEE International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings.
[18] Sally A. McKee,et al. Real time power estimation and thread scheduling via performance counters , 2009, CARN.
[19] Sharad Malik,et al. Power analysis of embedded software: a first step towards software power minimization , 1994, ICCAD.
[20] Doug Burger,et al. End-to-end validation of architectural power models , 2009, ISLPED.
[21] Eric Senn,et al. Functional level power analysis: an efficient approach for modeling the power consumption of complex processors , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[22] Sandeep K. Shukla,et al. Power estimation methodology for a high-level synthesis framework , 2009, 2009 10th International Symposium on Quality Electronic Design.
[23] Wei Wu,et al. A systematic method for functional unit power estimation in microprocessors , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[24] Shrirang M. Yardi,et al. CAMP: A technique to estimate per-structure power at run-time using a few simple parameters , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[25] Eric Senn,et al. SoftExplorer: Estimating and Optimizing the Power and Energy Consumption of a C Program for DSP Applications , 2005, EURASIP J. Adv. Signal Process..
[26] Takayasu Sakurai,et al. Analysis and future trend of short-circuit power , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[27] Sherief Reda,et al. Improved post-silicon power modeling using AC lock-in techniques , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[28] Mahmut T. Kandemir,et al. Compiler-directed high-level energy estimation and optimization , 2005, TECS.
[29] Jeffry T. Russell,et al. Software power estimation and optimization for high performance, 32-bit embedded processors , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[30] Miodrag Potkonjak,et al. Function-level power estimation methodology for microprocessors , 2000, DAC.
[31] Yuhua Cheng,et al. MOSFET Modeling and Bsim3 User's Guide , 1999 .
[32] Brad Calder,et al. Using SimPoint for accurate and efficient simulation , 2003, SIGMETRICS '03.
[33] Uming Ko,et al. Energy optimization of multilevel cache architectures for RISC and CISC processors , 1998, IEEE Trans. Very Large Scale Integr. Syst..
[34] Holger Blume,et al. Hybrid functional- and instruction-level power modeling for embedded and heterogeneous processor architectures , 2007, J. Syst. Archit..
[35] Mahmut T. Kandemir,et al. The design and use of simplePower: a cycle-accurate energy estimation tool , 2000, Proceedings 37th Design Automation Conference.
[36] Nikil D. Dutt,et al. A Multi-Granularity Power Modeling Methodology for Embedded Processors , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[37] Simone Orcioni,et al. System-level energy estimation with Powersim , 2011, 2011 18th IEEE International Conference on Electronics, Circuits, and Systems.
[38] Margaret Martonosi,et al. Runtime power monitoring in high-end processors: methodology and empirical data , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[39] Eduard Ayguadé,et al. Decomposable and responsive power models for multicore processors using performance counters , 2010, ICS '10.
[40] Frank Bellosa,et al. The benefits of event: driven energy accounting in power-sensitive systems , 2000, ACM SIGOPS European Workshop.
[41] Eric Senn,et al. Power Consumption Modeling and Characterization of the TI C6201 , 2003, IEEE Micro.
[42] Andrew B. Kahng,et al. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[43] Ieee Catalog Number. ISPASS 2007 IEEE International Symposium on Performance Analysis of Systems and Software , 2007 .
[44] Massoud Pedram,et al. Microprocessor power estimation using profile-driven program synthesis , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[45] Jürgen Becker,et al. Modeling and Implementation of a Power Estimation Methodology for SystemC , 2012, Int. J. Reconfigurable Comput..
[46] Miltos D. Grammatikakis,et al. System-level power estimation methodology using cycle- and bit-accurate TLM , 2011, 2011 Design, Automation & Test in Europe.
[47] Xiaoyu Song,et al. AOP-based high-level power estimation in SystemC , 2010, GLSVLSI '10.
[48] Azadeh Davoodi,et al. Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processors , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[49] Carlos Carreras,et al. A complete dynamic power estimation model for data-paths in FPGA DSP designs , 2012, Integr..
[50] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[51] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[52] Nagu R. Dhanwada,et al. Transaction-level modeling for architectural and power analysis of PowerPC and CoreConnect-based systems , 2005, Des. Autom. Embed. Syst..
[53] E. Macii,et al. High-level Power Modeling, Estimation, And Optimization , 1997, Proceedings of the 34th Design Automation Conference.
[54] Kanad Ghose,et al. Energy-efficiency of VLSI caches: a comparative study , 1997, Proceedings Tenth International Conference on VLSI Design.
[55] James M. Rehg,et al. A Compilation Framework for Power and Energy Management on Mobile Computers , 2001, LCPC.
[56] Sharad Malik,et al. Instruction level power analysis and optimization of software , 1996, Proceedings of 9th International Conference on VLSI Design.
[57] Farid N. Najm,et al. A survey of power estimation techniques in VLSI circuits , 1994, IEEE Trans. Very Large Scale Integr. Syst..
[58] L. Benini,et al. A Power Modeling and Estimation Framework for VLIW-based Embedded Systems , 2001 .
[59] Cristina Silvano,et al. Multi-Accuracy Power and Performance Transaction-Level Modeling , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[60] Robertas Damaševičius. ESTIMATION OF DESIGN CHARACTERISTICS AT RTL MODELING LEVEL USING SYSTEMC , 2006 .
[61] Miodrag Potkonjak,et al. Code Coverage-Based Power Estimation Techniques for Microprocessors , 2002, J. Circuits Syst. Comput..
[62] Alon Naveh,et al. Power management architecture of the 2nd generation Intel® Core microarchitecture, formerly codenamed Sandy Bridge , 2011, IEEE Hot Chips Symposium.
[63] Robertas Damasevicius,et al. Estimation of Power Consumption at Behavioral Modeling Level Using SystemC , 2007, EURASIP J. Embed. Syst..
[64] Kevin Skadron,et al. Temperature-to-power mapping , 2010, 2010 IEEE International Conference on Computer Design.
[65] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[66] Trevor Mudge,et al. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.
[67] A. Sinha,et al. JouleTrack-a Web based tool for software energy profiling , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[68] Shekhar Y. Borkar,et al. Low power design challenges for the decade (invited talk) , 2001, ASP-DAC '01.
[69] Jose Renau,et al. Power model validation through thermal measurements , 2007, ISCA '07.
[70] Holger Blume,et al. Power estimation on functional level for programmable processors , 2005 .
[71] Brad Calder,et al. Discovering and Exploiting Program Phases , 2003, IEEE Micro.
[72] Niraj K. Jha,et al. High-level software energy macro-modeling , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[73] J. Wakil,et al. Spatially-resolved imaging of microprocessor power (SIMP): hotspots in microprocessors , 2006, Thermal and Thermomechanical Proceedings 10th Intersociety Conference on Phenomena in Electronics Systems, 2006. ITHERM 2006..
[74] Lizy Kurian John,et al. Complete System Power Estimation: A Trickle-Down Approach Based on Performance Events , 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.
[75] Vittorio Zaccaria,et al. An instruction-level energy model for embedded VLIW architectures , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[76] Jürgen Becker,et al. A statistical power estimation methodology embedded in a SystemC code translator , 2011, SBCCI '11.
[77] Christian Steger,et al. Tool for Automated Instruction Set Characterization for Software Power Estimation , 2010, IEEE Transactions on Instrumentation and Measurement.
[78] Margaret Martonosi,et al. Power prediction for Intel XScale/spl reg/ processors using performance monitoring unit events , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[79] Xi Wang,et al. Power Trace: An Efficient Method for Extracting the Power Dissipation Profile in an IC Chip From Its Temperature Map , 2009, IEEE Transactions on Components and Packaging Technologies.
[80] Paul Feautrier,et al. Embedded software energy characterization: Using non-intrusive measures for application source code annotation , 2009, J. Embed. Comput..
[81] Nikil Dutt,et al. An Enhanced Power Estimation Model for On-Chip Caches , 2004 .
[82] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).